weatherstation/schematics/oko-weatherstation.kicad_pcb

1981 lines
145 KiB
Plaintext
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

(kicad_pcb (version 20171130) (host pcbnew 5.0.1)
(general
(thickness 1.6)
(drawings 47)
(tracks 143)
(zones 0)
(modules 21)
(nets 25)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user hide)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user hide)
(49 F.Fab user)
)
(setup
(last_trace_width 0.25)
(user_trace_width 0.4)
(user_trace_width 0.5)
(user_trace_width 0.6)
(trace_clearance 0.2)
(zone_clearance 0.508)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.001)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.5 1.5)
(pad_drill 0.6)
(pad_to_mask_clearance 0)
(solder_mask_min_width 0.25)
(aux_axis_origin 128 81.5)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions true)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer false)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin true)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk true)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory ""))
)
(net 0 "")
(net 1 5V)
(net 2 GND)
(net 3 SCL)
(net 4 SDA)
(net 5 3V3)
(net 6 "Net-(U3-Pad6)")
(net 7 VCC_SOLAR)
(net 8 GND_SOLAR)
(net 9 ANEMOMETER)
(net 10 "Net-(JP1-Pad2)")
(net 11 "Net-(JP1-Pad1)")
(net 12 VCC_BAT)
(net 13 GND_BAT)
(net 14 "Net-(R1-Pad2)")
(net 15 "Net-(U2-Pad15)")
(net 16 "Net-(U2-Pad3)")
(net 17 "Net-(U2-Pad13)")
(net 18 "Net-(U2-Pad4)")
(net 19 "Net-(U2-Pad12)")
(net 20 "Net-(U2-Pad7)")
(net 21 "Net-(U2-Pad8)")
(net 22 "Net-(U3-Pad1)")
(net 23 "Net-(U4-Pad1)")
(net 24 "Net-(U4-Pad2)")
(net_class Default "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net 3V3)
(add_net 5V)
(add_net ANEMOMETER)
(add_net GND)
(add_net GND_BAT)
(add_net GND_SOLAR)
(add_net "Net-(JP1-Pad1)")
(add_net "Net-(JP1-Pad2)")
(add_net "Net-(R1-Pad2)")
(add_net "Net-(U2-Pad12)")
(add_net "Net-(U2-Pad13)")
(add_net "Net-(U2-Pad15)")
(add_net "Net-(U2-Pad3)")
(add_net "Net-(U2-Pad4)")
(add_net "Net-(U2-Pad7)")
(add_net "Net-(U2-Pad8)")
(add_net "Net-(U3-Pad1)")
(add_net "Net-(U3-Pad6)")
(add_net "Net-(U4-Pad1)")
(add_net "Net-(U4-Pad2)")
(add_net SCL)
(add_net SDA)
(add_net VCC_BAT)
(add_net VCC_SOLAR)
)
(module oko:GY-BME280 (layer F.Cu) (tedit 5C50A64F) (tstamp 5C37DABA)
(at 132.5 98.5 180)
(path /5C36680F)
(fp_text reference U4 (at 3.2 17.4 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value GY-BME280 (at 9.2 0.4 180) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDO (at 8.3 10.1 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user CSB (at 8.4 7.3 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDA (at 8.3 5.9 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SCL (at 8.2 4.6 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user GND (at 8.1 3.2 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user VCC (at 8.5 8.6 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user BME280 (at 11.1 6.1 270) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 13.4 1.4) (end 13.4 16.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 16.4) (end 13.4 16.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 1.4) (end 13.4 1.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 1.4) (end 1.4 16.4) (layer F.SilkS) (width 0.15))
(pad 6 thru_hole circle (at 2.54 2.54 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 5 3V3))
(pad 5 thru_hole circle (at 2.54 5.08 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 2 GND))
(pad 4 thru_hole circle (at 2.54 7.62 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 3 SCL))
(pad 3 thru_hole circle (at 2.54 10.16 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 4 SDA))
(pad 2 thru_hole circle (at 2.54 12.7 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 24 "Net-(U4-Pad2)"))
(pad 1 thru_hole circle (at 2.54 15.24 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 23 "Net-(U4-Pad1)"))
)
(module oko:GY-BME280 (layer F.Cu) (tedit 5C50A5BD) (tstamp 5C37DABA)
(at 132.5 98.5 180)
(path /5C36680F)
(fp_text reference U4 (at 3.2 17.4 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value GY-BME280 (at 9.2 0.4 180) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDO (at -0.4 9.6 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user CSB (at -0.3 8.2 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDA (at -0.3 6.8 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SCL (at -0.3 5.4 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user GND (at -0.4 3.9 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user VCC (at -0.4 2.3 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user BME280 (at 3.8 4.5 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 13.4 1.4) (end 13.4 16.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 16.4) (end 13.4 16.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 1.4) (end 13.4 1.4) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4 1.4) (end 1.4 16.4) (layer F.SilkS) (width 0.15))
(pad 6 thru_hole circle (at 2.54 2.54 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 5 3V3))
(pad 5 thru_hole circle (at 2.54 5.08 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 2 GND))
(pad 4 thru_hole circle (at 2.54 7.62 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 3 SCL))
(pad 3 thru_hole circle (at 2.54 10.16 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 4 SDA))
(pad 2 thru_hole circle (at 2.54 12.7 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 24 "Net-(U4-Pad2)"))
(pad 1 thru_hole circle (at 2.54 15.24 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 23 "Net-(U4-Pad1)"))
)
(module oko:APDS-9960 (layer F.Cu) (tedit 5C390407) (tstamp 5C37DAA5)
(at 140.5 114.5 180)
(path /5C366782)
(fp_text reference U3 (at 12.7 15.24 180) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value APDS-9900 (at 7.62 17.78 180) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.3 1.44) (end 1.3 16.44) (layer F.SilkS) (width 0.15))
(fp_line (start 1.3 1.44) (end 21.3 1.44) (layer F.SilkS) (width 0.15))
(fp_line (start 21.3 1.44) (end 21.3 16.44) (layer F.SilkS) (width 0.15))
(fp_line (start 21.3 16.44) (end 1.3 16.44) (layer F.SilkS) (width 0.15))
(fp_text user "VL " (at -0.2 2.3 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user GND (at -0.3 3.9 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user VCC (at -0.4 5.4 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDA (at -0.3 7 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SCL (at -0.3 8.6 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user INT (at -0.1 10.2 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user ADPS-9960 (at 4.5 6.4 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole circle (at 2.54 2.54 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 22 "Net-(U3-Pad1)"))
(pad 2 thru_hole circle (at 2.54 5.08 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 2 GND))
(pad 3 thru_hole circle (at 2.54 7.62 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 5 3V3))
(pad 4 thru_hole circle (at 2.54 10.16 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 4 SDA))
(pad 5 thru_hole circle (at 2.54 12.7 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 3 SCL))
(pad 6 thru_hole circle (at 2.54 15.24 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 6 "Net-(U3-Pad6)"))
)
(module Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm (layer B.Cu) (tedit 59650532) (tstamp 5C37DA77)
(at 173.9 86.5)
(descr "Through hole angled pin header, 1x02, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x02 2.54mm single row")
(path /5C3808D5)
(fp_text reference JP1 (at 4.385 2.27) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Jumper (at 4.385 -4.81) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 2.135 1.27) (end 4.04 1.27) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 1.27) (end 4.04 -3.81) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -3.81) (end 1.5 -3.81) (layer B.Fab) (width 0.1))
(fp_line (start 1.5 -3.81) (end 1.5 0.635) (layer B.Fab) (width 0.1))
(fp_line (start 1.5 0.635) (end 2.135 1.27) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 0.32) (end 1.5 0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 0.32) (end -0.32 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 0.32) (end 10.04 0.32) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.22) (end 1.5 -2.22) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.22) (end -0.32 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.86) (end 1.5 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -2.22) (end 10.04 -2.22) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -2.22) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -2.86) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start 1.44 1.33) (end 1.44 -3.87) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -3.87) (end 4.1 -3.87) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -3.87) (end 4.1 1.33) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 1.33) (end 1.44 1.33) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.38) (end 10.1 0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 0.38) (end 10.1 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -0.38) (end 4.1 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.32) (end 10.1 0.32) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.2) (end 10.1 0.2) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.08) (end 10.1 0.08) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.04) (end 10.1 -0.04) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.16) (end 10.1 -0.16) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.28) (end 10.1 -0.28) (layer B.SilkS) (width 0.12))
(fp_line (start 1.11 0.38) (end 1.44 0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -1.27) (end 4.1 -1.27) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -2.16) (end 10.1 -2.16) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -2.16) (end 10.1 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -2.92) (end 4.1 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -2.16) (end 1.44 -2.16) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -2.92) (end 1.44 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start -1.27 0) (end -1.27 1.27) (layer B.SilkS) (width 0.12))
(fp_line (start -1.27 1.27) (end 0 1.27) (layer B.SilkS) (width 0.12))
(fp_line (start -1.8 1.8) (end -1.8 -4.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 -4.35) (end 10.55 -4.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.55 -4.35) (end 10.55 1.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.55 1.8) (end -1.8 1.8) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 2.77 -1.27 -90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 "Net-(JP1-Pad1)"))
(pad 2 thru_hole oval (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 "Net-(JP1-Pad2)"))
(model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Angled_1x02_Pitch2.54mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_ThroughHole:C_Rect_L4.6mm_W2.0mm_P2.50mm_MKS02_FKP02 (layer B.Cu) (tedit 5C3A6D90) (tstamp 5C37BB2B)
(at 146.6 103.1)
(descr "C, Rect series, Radial, pin pitch=2.50mm, , length*width=4.6*2mm^2, Capacitor, http://www.wima.de/DE/WIMA_MKS_02.pdf")
(tags "C Rect series Radial pin pitch 2.50mm length 4.6mm width 2mm Capacitor")
(path /5C36B0BF)
(fp_text reference C1 (at -2.3 0) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 100n (at 1.25 -2.31) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 1.25 0) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 3.9 1.35) (end -1.4 1.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.9 -1.35) (end 3.9 1.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.4 -1.35) (end 3.9 -1.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.4 1.35) (end -1.4 -1.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.61 1.06) (end 3.61 -1.06) (layer B.SilkS) (width 0.12))
(fp_line (start -1.11 1.06) (end -1.11 -1.06) (layer B.SilkS) (width 0.12))
(fp_line (start -1.11 -1.06) (end 3.61 -1.06) (layer B.SilkS) (width 0.12))
(fp_line (start -1.11 1.06) (end 3.61 1.06) (layer B.SilkS) (width 0.12))
(fp_line (start 3.55 1) (end -1.05 1) (layer B.Fab) (width 0.1))
(fp_line (start 3.55 -1) (end 3.55 1) (layer B.Fab) (width 0.1))
(fp_line (start -1.05 -1) (end 3.55 -1) (layer B.Fab) (width 0.1))
(fp_line (start -1.05 1) (end -1.05 -1) (layer B.Fab) (width 0.1))
(pad 2 thru_hole circle (at 2.5 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask)
(net 9 ANEMOMETER))
(pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Rect_L4.6mm_W2.0mm_P2.50mm_MKS02_FKP02.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Pin_Headers:Pin_Header_Angled_1x06_Pitch2.54mm (layer B.Cu) (tedit 5C51593B) (tstamp 5C37DA44)
(at 147.3 85.6 90)
(descr "Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x06 2.54mm single row")
(path /5C389FC7)
(fp_text reference J7 (at 4.385 2.27 90) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Conn_01x06 (at 4.385 -14.97 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 2.77 -6.35) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 10.55 1.8) (end -1.8 1.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 10.55 -14.5) (end 10.55 1.8) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 -14.5) (end 10.55 -14.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 1.8) (end -1.8 -14.5) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.27 1.27) (end 0 1.27) (layer B.SilkS) (width 0.12))
(fp_line (start -1.27 0) (end -1.27 1.27) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -13.08) (end 1.44 -13.08) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -12.32) (end 1.44 -12.32) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -13.08) (end 4.1 -13.08) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -12.32) (end 10.1 -13.08) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -12.32) (end 10.1 -12.32) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -11.43) (end 4.1 -11.43) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -10.54) (end 1.44 -10.54) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -9.78) (end 1.44 -9.78) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -10.54) (end 4.1 -10.54) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -9.78) (end 10.1 -10.54) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -9.78) (end 10.1 -9.78) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -8.89) (end 4.1 -8.89) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -8) (end 1.44 -8) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -7.24) (end 1.44 -7.24) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -8) (end 4.1 -8) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -7.24) (end 10.1 -8) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -7.24) (end 10.1 -7.24) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -6.35) (end 4.1 -6.35) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -5.46) (end 1.44 -5.46) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -4.7) (end 1.44 -4.7) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -5.46) (end 4.1 -5.46) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -4.7) (end 10.1 -5.46) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -4.7) (end 10.1 -4.7) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -3.81) (end 4.1 -3.81) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -2.92) (end 1.44 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start 1.042929 -2.16) (end 1.44 -2.16) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -2.92) (end 4.1 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -2.16) (end 10.1 -2.92) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -2.16) (end 10.1 -2.16) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -1.27) (end 4.1 -1.27) (layer B.SilkS) (width 0.12))
(fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 1.11 0.38) (end 1.44 0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.28) (end 10.1 -0.28) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.16) (end 10.1 -0.16) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -0.04) (end 10.1 -0.04) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.08) (end 10.1 0.08) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.2) (end 10.1 0.2) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.32) (end 10.1 0.32) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 -0.38) (end 4.1 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 10.1 0.38) (end 10.1 -0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 0.38) (end 10.1 0.38) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 1.33) (end 1.44 1.33) (layer B.SilkS) (width 0.12))
(fp_line (start 4.1 -14.03) (end 4.1 1.33) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 -14.03) (end 4.1 -14.03) (layer B.SilkS) (width 0.12))
(fp_line (start 1.44 1.33) (end 1.44 -14.03) (layer B.SilkS) (width 0.12))
(fp_line (start 4.04 -13.02) (end 10.04 -13.02) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -12.38) (end 10.04 -13.02) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -12.38) (end 10.04 -12.38) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -13.02) (end 1.5 -13.02) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -12.38) (end -0.32 -13.02) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -12.38) (end 1.5 -12.38) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -10.48) (end 10.04 -10.48) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -9.84) (end 10.04 -10.48) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -9.84) (end 10.04 -9.84) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -10.48) (end 1.5 -10.48) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -9.84) (end -0.32 -10.48) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -9.84) (end 1.5 -9.84) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -7.94) (end 10.04 -7.94) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -7.3) (end 10.04 -7.94) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -7.3) (end 10.04 -7.3) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -7.94) (end 1.5 -7.94) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -7.3) (end -0.32 -7.94) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -7.3) (end 1.5 -7.3) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -5.4) (end 10.04 -5.4) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -4.76) (end 10.04 -5.4) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -4.76) (end 10.04 -4.76) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -5.4) (end 1.5 -5.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -4.76) (end -0.32 -5.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -4.76) (end 1.5 -4.76) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -2.86) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 -2.22) (end 10.04 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -2.22) (end 10.04 -2.22) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.86) (end 1.5 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.22) (end -0.32 -2.86) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -2.22) (end 1.5 -2.22) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start 10.04 0.32) (end 10.04 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 0.32) (end 10.04 0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 0.32) (end -0.32 -0.32) (layer B.Fab) (width 0.1))
(fp_line (start -0.32 0.32) (end 1.5 0.32) (layer B.Fab) (width 0.1))
(fp_line (start 1.5 0.635) (end 2.135 1.27) (layer B.Fab) (width 0.1))
(fp_line (start 1.5 -13.97) (end 1.5 0.635) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 -13.97) (end 1.5 -13.97) (layer B.Fab) (width 0.1))
(fp_line (start 4.04 1.27) (end 4.04 -13.97) (layer B.Fab) (width 0.1))
(fp_line (start 2.135 1.27) (end 4.04 1.27) (layer B.Fab) (width 0.1))
(pad 6 thru_hole oval (at 0 -12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 VCC_SOLAR))
(pad 5 thru_hole oval (at 0 -10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 GND_SOLAR))
(pad 4 thru_hole oval (at 0 -7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 VCC_BAT))
(pad 3 thru_hole oval (at 0 -5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 GND_BAT))
(pad 2 thru_hole oval (at 0 -2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 ANEMOMETER))
(pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 GND))
(model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Angled_1x06_Pitch2.54mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module oko:03962A (layer B.Cu) (tedit 5C39021A) (tstamp 5C37DA90)
(at 177.75 92.75 180)
(path /5C3666E0)
(fp_text reference U1 (at 2.3 0.8 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 03962A (at 5.75 -1.05 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 27.056963 -17.011121) (end 0.056963 -17.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 0.056963 -0.011121) (end 27.056963 -0.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 27.056963 -0.011121) (end 27.056963 -2.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 27.056963 -2.011121) (end 26.056963 -3.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 26.056963 -3.011121) (end 26.056963 -14.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 26.056963 -14.011121) (end 27.056963 -15.011121) (layer B.SilkS) (width 0.15))
(fp_line (start 27.056963 -15.011121) (end 27.056963 -17.011121) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 -6) (end -1.1 -12) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 -12) (end 3.9 -12) (layer B.SilkS) (width 0.15))
(fp_line (start 3.9 -12) (end 3.9 -5) (layer B.SilkS) (width 0.15))
(fp_line (start 3.9 -5) (end -1.1 -5) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 -5) (end -1.1 -6) (layer B.SilkS) (width 0.15))
(fp_line (start 0 0) (end 0 -5) (layer B.SilkS) (width 0.15))
(fp_line (start 0 -12) (end 0 -17) (layer B.SilkS) (width 0.15))
(fp_text user 03962A (at 26.75 -6.85 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 1 thru_hole rect (at 1.4 -1.4 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 7 VCC_SOLAR))
(pad 2 thru_hole rect (at 24.9 -1.4 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 1 5V))
(pad 3 thru_hole rect (at 24.9 -3.8 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 12 VCC_BAT))
(pad 4 thru_hole rect (at 24.9 -13.2 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 13 GND_BAT))
(pad 5 thru_hole rect (at 24.9 -15.6 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 2 GND))
(pad 6 thru_hole rect (at 1.4 -15.6 180) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask)
(net 8 GND_SOLAR))
)
(module oko:wemos-d1-mini-connectors-only (layer F.Cu) (tedit 5C3934BE) (tstamp 5C38D9A2)
(at 159.4 100 180)
(path /5C36643E)
(fp_text reference U2 (at -19.3 0 270) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "WeMos D1 mini" (at 15.9 -5.1 90) (layer F.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.15)))
)
(fp_line (start -18.3 13.33) (end 14.78 13.33) (layer F.SilkS) (width 0.15))
(fp_line (start 16.78 11.33) (end 16.78 -11.33) (layer F.SilkS) (width 0.15))
(fp_line (start 14.78 -13.33) (end -11.3 -13.33) (layer F.SilkS) (width 0.15))
(fp_line (start -18.3 -11.18) (end -18.3 -3.32) (layer F.SilkS) (width 0.15))
(fp_line (start -18.3 -3.32) (end -17.3 -3.32) (layer F.SilkS) (width 0.15))
(fp_line (start -17.3 -3.32) (end -17.3 4.9) (layer F.SilkS) (width 0.15))
(fp_line (start -17.3 4.9) (end -18.3 4.9) (layer F.SilkS) (width 0.15))
(fp_line (start -18.3 4.9) (end -18.3 13.329999) (layer F.SilkS) (width 0.15))
(fp_line (start -11.48 -13.5) (end 14.85 -13.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 16.94 -11.5) (end 16.94 11.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 14.94 13.5) (end -18.46 13.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -18.46 13.5) (end -18.46 -11.33) (layer F.CrtYd) (width 0.05))
(fp_arc (start 14.78 -11.33) (end 14.78 -13.33) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 14.78 11.33) (end 16.78 11.33) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 14.94 11.5) (end 16.94 11.5) (angle 90) (layer F.CrtYd) (width 0.05))
(fp_arc (start 14.94 -11.5) (end 14.85 -13.5) (angle 92.57657183) (layer F.CrtYd) (width 0.05))
(fp_line (start -18.3 -11.18) (end -12.3 -11.18) (layer F.SilkS) (width 0.15))
(fp_arc (start -12.3 -12.18) (end -11.3 -12.18) (angle 90) (layer F.SilkS) (width 0.15))
(fp_line (start -11.3 -12.17) (end -11.3 -13.33) (layer F.SilkS) (width 0.15))
(fp_line (start -11.3 -13.33) (end -11.3 -13.33) (layer F.SilkS) (width 0.15))
(fp_line (start -11.48 -13.5) (end -11.48 -12.33) (layer F.CrtYd) (width 0.05))
(fp_line (start -18.46 -11.33) (end -12.48 -11.33) (layer F.CrtYd) (width 0.05))
(fp_arc (start -12.48 -12.33) (end -11.48 -12.33) (angle 90) (layer F.CrtYd) (width 0.05))
(pad 16 thru_hole circle (at -8.89 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 5 3V3))
(pad 1 thru_hole circle (at -8.89 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 1 5V))
(pad 15 thru_hole circle (at -6.35 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 15 "Net-(U2-Pad15)"))
(pad 2 thru_hole circle (at -6.35 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 2 GND))
(pad 14 thru_hole circle (at -3.81 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 9 ANEMOMETER))
(pad 3 thru_hole circle (at -3.81 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 16 "Net-(U2-Pad3)"))
(pad 13 thru_hole circle (at -1.27 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 17 "Net-(U2-Pad13)"))
(pad 4 thru_hole circle (at -1.27 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 18 "Net-(U2-Pad4)"))
(pad 12 thru_hole circle (at 1.27 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 19 "Net-(U2-Pad12)"))
(pad 5 thru_hole circle (at 1.27 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 4 SDA))
(pad 11 thru_hole circle (at 3.81 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 11 "Net-(JP1-Pad1)"))
(pad 6 thru_hole circle (at 3.81 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 3 SCL))
(pad 10 thru_hole circle (at 6.35 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 14 "Net-(R1-Pad2)"))
(pad 7 thru_hole circle (at 6.35 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 20 "Net-(U2-Pad7)"))
(pad 9 thru_hole circle (at 8.89 -11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 10 "Net-(JP1-Pad2)"))
(pad 8 thru_hole circle (at 8.89 11.43 180) (size 1.8 1.8) (drill 1.016) (layers *.Cu *.Mask)
(net 21 "Net-(U2-Pad8)"))
(model ${KIPRJMOD}/3dshapes/wemos_d1_mini.3dshapes/SLW-108-01-G-S.wrl
(offset (xyz 0 -11.39999982878918 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz -90 0 0))
)
(model ${KIPRJMOD}/3dshapes/wemos_d1_mini.3dshapes/SLW-108-01-G-S.wrl
(offset (xyz 0 11.39999982878918 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz -90 0 0))
)
(model ${KIPRJMOD}/3dshapes/wemos_d1_mini.3dshapes/TSW-108-05-G-S.wrl
(offset (xyz 0 -11.39999982878918 7.299999890364999))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 90 0 0))
)
(model ${KIPRJMOD}/3dshapes/wemos_d1_mini.3dshapes/TSW-108-05-G-S.wrl
(offset (xyz 0 11.39999982878918 7.299999890364999))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 90 0 0))
)
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C39021E) (tstamp 5C38D889)
(at 176.4 91)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38D2CB)
(attr virtual)
(fp_text reference J1 (at 0 2) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value VCC_SOLAR (at 0 -2) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 7 VCC_SOLAR))
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C3901F5) (tstamp 5C38D892)
(at 176.4 111.7)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38D8BF)
(attr virtual)
(fp_text reference J2 (at 0 2) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value GND_SOLAR (at 7.5 -1.4) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 8 GND_SOLAR))
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C3A6CE4) (tstamp 5C38D89B)
(at 149.5 96.5)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38D924)
(attr virtual)
(fp_text reference J3 (at -2.2 0) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value VCC_BAT (at 0 -2) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 12 VCC_BAT))
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C3A6CFC) (tstamp 5C38D8A4)
(at 149.5 106)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38D96A)
(attr virtual)
(fp_text reference J4 (at -2.4 0) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value GND_BAT (at 0 -2) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 13 GND_BAT))
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C3A6CE1) (tstamp 5C38D8AD)
(at 149 94.1)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38D9A1)
(attr virtual)
(fp_text reference J5 (at -3 1) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 5V (at 0 -2) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 1 5V))
)
(module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer B.Cu) (tedit 5C3A6D03) (tstamp 5C38D8B6)
(at 149 108.4)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
(path /5C38DB5F)
(attr virtual)
(fp_text reference J6 (at -3.1 0.1) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value GND (at 0 -2) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 1) (end 1 1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 1) (end 1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -1) (end -1 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 -1) (end -1 1) (layer B.CrtYd) (width 0.05))
(pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers B.Cu B.Mask)
(net 2 GND))
)
(module ant:ant (layer F.Cu) (tedit 5C3908AA) (tstamp 5C3960ED)
(at 159.2 99.8)
(descr "Imported from ant.svg")
(tags svg2mod)
(attr smd)
(fp_text reference svg2mod (at 0 -16.47926) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3048)))
)
(fp_text value G*** (at 0 16.47926) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3048)))
)
(fp_poly (pts (xy 9.722176 -13.430868) (xy 9.013269 -13.233159) (xy 8.078872 -12.581828) (xy 7.656871 -12.249442)
(xy 7.000661 -11.837849) (xy 6.175762 -11.35736) (xy 5.247695 -10.818284) (xy 4.281981 -10.230933)
(xy 3.34414 -9.605615) (xy 2.499693 -8.952641) (xy 1.814161 -8.282321) (xy 1.353064 -7.604965)
(xy 0.978555 -6.785137) (xy 0.686542 -6.048574) (xy 0.443437 -5.326439) (xy 0.215651 -4.549897)
(xy -0.030405 -3.650112) (xy -0.32832 -2.558249) (xy -5.515097 -1.465832) (xy -5.634889 -1.180442)
(xy -6.155269 -1.391724) (xy -6.858126 -2.086419) (xy -7.637667 -2.341034) (xy -8.397204 -2.362056)
(xy -8.089313 -2.725043) (xy -7.523293 -3.329741) (xy -6.843526 -3.902506) (xy -6.194395 -4.16969)
(xy -6.045715 -4.15404) (xy -5.671569 -3.579635) (xy -5.298614 -2.866928) (xy -4.934528 -1.982531)
(xy -5.515097 -1.465832) (xy -0.32832 -2.558249) (xy -4.973655 0.658493) (xy -4.578479 0.752396)
(xy -4.159921 1.314501) (xy -3.913333 1.253212) (xy -3.896067 1.607991) (xy -3.962271 2.408809)
(xy -4.288946 3.260391) (xy -4.636152 3.645148) (xy -5.209552 4.158219) (xy -5.933996 4.743734)
(xy -6.734337 5.345822) (xy -7.182773 4.634602) (xy -7.676265 3.923319) (xy -8.158534 3.287779)
(xy -6.765864 2.890156) (xy -5.913305 2.381195) (xy -5.472916 1.842785) (xy -5.316755 1.356817)
(xy -5.316882 1.005184) (xy -5.345355 0.869775) (xy -4.973655 0.658493) (xy -0.32832 -2.558249)
(xy -1.121335 -2.414711) (xy -1.65586 -2.429032) (xy -2.052438 -2.391358) (xy -2.074026 -3.230892)
(xy -2.104448 -4.191108) (xy -2.155227 -5.161753) (xy -2.237881 -6.032575) (xy -2.363932 -6.693323)
(xy -3.075061 -7.421074) (xy -3.610929 -7.956757) (xy -4.218518 -8.481394) (xy -4.925312 -9.341115)
(xy -5.215108 -10.121126) (xy -5.271015 -10.461184) (xy -5.247521 -10.936886) (xy -5.497947 -11.897119)
(xy -6.030656 -11.291682) (xy -5.955725 -10.355543) (xy -5.692638 -9.489112) (xy -5.202427 -8.728631)
(xy -4.580008 -8.053065) (xy -3.920296 -7.441383) (xy -3.318209 -6.872551) (xy -2.868661 -6.325536)
(xy -2.805278 -5.574902) (xy -2.777344 -4.784992) (xy -2.804967 -3.977571) (xy -2.90826 -3.174405)
(xy -3.107331 -2.397257) (xy -3.721614 -2.346393) (xy -4.376761 -3.073463) (xy -5.087282 -3.883393)
(xy -5.697951 -4.56356) (xy -6.05354 -4.901339) (xy -6.56685 -4.74275) (xy -7.430915 -4.200304)
(xy -8.331107 -3.553532) (xy -8.952797 -3.081967) (xy -8.865389 -4.117298) (xy -8.878887 -5.030323)
(xy -9.054526 -5.609525) (xy -9.330712 -5.642097) (xy -9.881984 -5.472971) (xy -10.64317 -5.134487)
(xy -11.549096 -4.658984) (xy -12.534592 -4.078802) (xy -13.534485 -3.426278) (xy -14.551277 -2.63512)
(xy -15.433259 -1.809846) (xy -16.128072 -1.071053) (xy -16.583356 -0.539339) (xy -16.746752 -0.335302)
(xy -16.560128 -0.507497) (xy -16.051188 -0.954868) (xy -15.296336 -1.573593) (xy -14.371973 -2.259848)
(xy -13.354504 -2.909811) (xy -12.309629 -3.492526) (xy -11.34152 -4.008164) (xy -10.52728 -4.432381)
(xy -9.944009 -4.74083) (xy -9.668808 -4.909164) (xy -9.527359 -4.382748) (xy -9.594282 -3.522784)
(xy -9.782274 -2.538111) (xy -10.143084 -2.288954) (xy -9.930954 -2.08816) (xy -9.891828 -2.072509)
(xy -9.915304 -1.978605) (xy -10.560887 -1.497353) (xy -11.028345 -1.438072) (xy -11.664249 -1.333023)
(xy -12.823832 -0.929808) (xy -13.529655 -0.338759) (xy -13.894357 0.280745) (xy -14.030576 0.769325)
(xy -14.050952 0.967603) (xy -13.653409 0.670118) (xy -12.568066 0.650681) (xy -12.174604 0.425158)
(xy -12.016385 -0.163146) (xy -11.222121 -0.202273) (xy -11.218215 0.642855) (xy -11.002031 1.598729)
(xy -10.68051 2.317774) (xy -10.267447 2.826101) (xy -10.638795 3.033756) (xy -11.077361 2.935655)
(xy -11.760669 2.565859) (xy -12.067256 2.497441) (xy -12.591646 2.766637) (xy -13.530579 3.049122)
(xy -13.137571 3.497223) (xy -12.53247 3.898689) (xy -11.981178 4.066404) (xy -10.831498 3.984863)
(xy -9.978089 3.832478) (xy -9.378912 3.643486) (xy -8.99193 3.452122) (xy -8.135065 4.567221)
(xy -7.403397 5.823175) (xy -7.891333 6.145761) (xy -8.334602 6.417895) (xy -9.002784 6.670507)
(xy -9.768128 6.944804) (xy -10.592189 7.219983) (xy -11.617928 7.523549) (xy -12.601306 7.771443)
(xy -13.469269 7.949998) (xy -14.148768 8.045548) (xy -14.419248 8.085965) (xy -14.060445 8.093774)
(xy -13.399333 8.082547) (xy -12.762885 8.065858) (xy -12.478075 8.057279) (xy -12.183916 8.004387)
(xy -11.415024 7.863678) (xy -10.34178 7.662104) (xy -10.663774 8.277565) (xy -10.193101 8.620698)
(xy -9.58665 8.579926) (xy -9.361667 8.294612) (xy -9.136685 7.842702) (xy -8.530234 7.302142)
(xy -7.440049 7.062245) (xy -6.616923 6.848544) (xy -6.221783 6.683947) (xy -6.436978 5.88577)
(xy -5.545901 5.246402) (xy -4.891556 4.769867) (xy -4.637169 4.582866) (xy -4.07787 4.082568)
(xy -3.555131 3.411752) (xy -3.12854 2.607646) (xy -2.857682 1.707482) (xy -2.802147 0.74849)
(xy -2.101786 0.83848) (xy -1.688925 1.216003) (xy -1.413163 1.793161) (xy -0.783592 2.649953)
(xy -0.189714 3.076126) (xy 0.310832 3.283228) (xy 0.66041 3.482809) (xy 0.801384 3.886418)
(xy 0.801156 4.3937) (xy 0.769916 5.180743) (xy 0.692787 6.166729) (xy 0.554888 7.270841)
(xy 0.331159 8.464012) (xy 0.08465 9.463531) (xy -0.127261 10.201072) (xy -0.247201 10.608313)
(xy -0.363592 11.745402) (xy -0.305396 12.759733) (xy -0.247201 13.198473) (xy 0.017253 13.285768)
(xy 0.652704 13.198473) (xy 0.811928 12.887546) (xy 0.774217 12.289) (xy 0.656894 11.485173)
(xy 0.577282 10.558403) (xy 0.652704 9.59103) (xy 0.795096 9.015451) (xy 0.990652 8.275784)
(xy 1.180909 7.407783) (xy 1.364849 6.307643) (xy 1.505847 5.213554) (xy 1.572091 4.236017)
(xy 1.531767 3.48553) (xy 1.353064 3.072591) (xy 0.647697 2.594157) (xy 0.28716 2.480838)
(xy 0.074182 2.445266) (xy -0.188511 2.200075) (xy -0.626484 1.616275) (xy -0.902015 1.027289)
(xy -0.870748 0.393579) (xy -0.334169 0.177743) (xy 0.376585 0.484813) (xy 1.209481 0.814594)
(xy 2.088524 1.06787) (xy 2.937722 1.145422) (xy 3.681078 0.948034) (xy 4.960424 0.483979)
(xy 5.903627 0.414734) (xy 6.271239 0.455043) (xy 7.452724 1.176592) (xy 8.478769 1.779898)
(xy 9.383587 2.279874) (xy 10.201389 2.691438) (xy 10.966387 3.029504) (xy 11.712793 3.308988)
(xy 12.474818 3.544807) (xy 13.286674 3.751874) (xy 14.182573 3.945107) (xy 15.223839 4.043316)
(xy 15.872828 4.062486) (xy 16.215222 3.906674) (xy 15.990207 3.510805) (xy 15.047193 3.485576)
(xy 14.078574 3.351251) (xy 13.099045 3.12463) (xy 12.123299 2.82251) (xy 11.16603 2.461691)
(xy 10.241933 2.058971) (xy 9.365702 1.631149) (xy 8.55203 1.195022) (xy 7.815613 0.767391)
(xy 7.171143 0.365052) (xy 5.889508 -0.276471) (xy 4.970733 -0.424246) (xy 4.352031 -0.293773)
(xy 3.970613 -0.10055) (xy 3.342884 0.152915) (xy 2.462539 0.365912) (xy 1.699019 0.328399)
(xy 1.046067 -0.164068) (xy 0.785937 -0.874769) (xy 1.461573 -1.235088) (xy 2.243224 -1.530896)
(xy 3.041536 -1.726671) (xy 3.767156 -1.786893) (xy 4.064384 -1.774745) (xy 4.537229 -1.755665)
(xy 5.151035 -1.73824) (xy 5.871141 -1.731057) (xy 6.662891 -1.742704) (xy 7.491626 -1.781767)
(xy 8.322688 -1.856835) (xy 9.121418 -1.976492) (xy 9.853159 -2.149329) (xy 10.483253 -2.38393)
(xy 10.97704 -2.688884) (xy 11.299863 -3.072777) (xy 11.417063 -3.544197) (xy 11.293984 -4.111731)
(xy 10.895965 -4.783966) (xy 9.875565 -5.296398) (xy 9.038685 -5.633785) (xy 8.360635 -5.820147)
(xy 7.816727 -5.879502) (xy 7.691522 -5.875596) (xy 6.93934 -5.665623) (xy 6.402988 -5.265838)
(xy 5.872166 -4.883354) (xy 5.136576 -4.725284) (xy 4.352183 -4.558981) (xy 3.911148 -4.124152)
(xy 3.556578 -3.596671) (xy 3.031582 -3.152407) (xy 2.413883 -2.956301) (xy 1.624691 -2.812993)
(xy 0.907069 -2.721157) (xy 0.885692 -3.527729) (xy 0.942691 -4.296523) (xy 1.090363 -5.064656)
(xy 1.341004 -5.869249) (xy 1.706913 -6.74742) (xy 2.200386 -7.736288) (xy 2.652686 -8.25581)
(xy 3.306038 -8.835488) (xy 4.102272 -9.445507) (xy 4.983218 -10.056054) (xy 5.890709 -10.637314)
(xy 6.766574 -11.159472) (xy 7.552645 -11.592716) (xy 8.190753 -11.907229) (xy 8.622728 -12.073199)
(xy 9.274892 -12.393223) (xy 9.576685 -12.834045) (xy 9.83173 -13.352628) (xy 9.722176 -13.430881)
(xy 9.722176 -13.430868)) (layer F.SilkS) (width 0.338389))
)
(module Resistors_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5C4D95C9) (tstamp 5C4D9413)
(at 137.782986 93.98 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5C4D91C5)
(attr smd)
(fp_text reference R2 (at 0 1.43 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 4k7 (at 0 -1.43 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer B.SilkS) (width 0.12))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer B.SilkS) (width 0.12))
(fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
)
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 5 3V3))
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 4 SDA))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5C4D95D0) (tstamp 5C4D9424)
(at 137.782986 95.6 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5C4D924A)
(attr smd)
(fp_text reference R3 (at 0 1.43 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 4k7 (at 0 -1.43 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
)
(fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer B.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer B.SilkS) (width 0.12))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 3 SCL))
(pad 1 smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 5 3V3))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Mounting_Holes:MountingHole_2.5mm (layer F.Cu) (tedit 5C50A657) (tstamp 5C508E51)
(at 154.7 84.3)
(descr "Mounting Hole 2.5mm, no annular")
(tags "mounting hole 2.5mm no annular")
(attr virtual)
(fp_text reference REF** (at 0 -3.5) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.5mm (at 0 3.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.5 2.5) (drill 2.5) (layers *.Cu *.Mask))
)
(module Mounting_Holes:MountingHole_2.5mm (layer F.Cu) (tedit 5C50A659) (tstamp 5C508FD2)
(at 164.7 84.3)
(descr "Mounting Hole 2.5mm, no annular")
(tags "mounting hole 2.5mm no annular")
(attr virtual)
(fp_text reference REF** (at 0 -3.5) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.5mm (at 0 3.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.5 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.75 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.5 2.5) (drill 2.5) (layers *.Cu *.Mask))
)
(module Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal (layer B.Cu) (tedit 5C50A8F1) (tstamp 5C50E1EE)
(at 141.8 99.9)
(descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=7.62mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf")
(tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 7.62mm 0.25W = 1/4W length 6.3mm diameter 2.5mm")
(path /5C36B93E)
(fp_text reference R1 (at 3.81 2.31) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 100k (at 3.81 -2.31) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 8.7 1.6) (end -1.05 1.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 8.7 -1.6) (end 8.7 1.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.05 -1.6) (end 8.7 -1.6) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.05 1.6) (end -1.05 -1.6) (layer B.CrtYd) (width 0.05))
(fp_line (start 7.02 -1.31) (end 7.02 -0.98) (layer B.SilkS) (width 0.12))
(fp_line (start 0.6 -1.31) (end 7.02 -1.31) (layer B.SilkS) (width 0.12))
(fp_line (start 0.6 -0.98) (end 0.6 -1.31) (layer B.SilkS) (width 0.12))
(fp_line (start 7.02 1.31) (end 7.02 0.98) (layer B.SilkS) (width 0.12))
(fp_line (start 0.6 1.31) (end 7.02 1.31) (layer B.SilkS) (width 0.12))
(fp_line (start 0.6 0.98) (end 0.6 1.31) (layer B.SilkS) (width 0.12))
(fp_line (start 7.62 0) (end 6.96 0) (layer B.Fab) (width 0.1))
(fp_line (start 0 0) (end 0.66 0) (layer B.Fab) (width 0.1))
(fp_line (start 6.96 1.25) (end 0.66 1.25) (layer B.Fab) (width 0.1))
(fp_line (start 6.96 -1.25) (end 6.96 1.25) (layer B.Fab) (width 0.1))
(fp_line (start 0.66 -1.25) (end 6.96 -1.25) (layer B.Fab) (width 0.1))
(fp_line (start 0.66 1.25) (end 0.66 -1.25) (layer B.Fab) (width 0.1))
(pad 2 thru_hole oval (at 7.62 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 14 "Net-(R1-Pad2)"))
(pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 5V))
(model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Symbols:OSHW-Logo_5.7x6mm_SilkScreen (layer B.Cu) (tedit 0) (tstamp 5C515B0D)
(at 131.6 109.3 180)
(descr "Open Source Hardware Logo")
(tags "Logo OSHW")
(attr virtual)
(fp_text reference REF*** (at 0 0 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value OSHW-Logo_5.7x6mm_SilkScreen (at 0.75 0 180) (layer B.Fab) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_poly (pts (xy -1.908759 -1.469184) (xy -1.882247 -1.482282) (xy -1.849553 -1.505106) (xy -1.825725 -1.529996)
(xy -1.809406 -1.561249) (xy -1.79924 -1.603166) (xy -1.793872 -1.660044) (xy -1.791944 -1.736184)
(xy -1.791831 -1.768917) (xy -1.792161 -1.840656) (xy -1.793527 -1.891927) (xy -1.7965 -1.927404)
(xy -1.801649 -1.951763) (xy -1.809543 -1.96968) (xy -1.817757 -1.981902) (xy -1.870187 -2.033905)
(xy -1.93193 -2.065184) (xy -1.998536 -2.074592) (xy -2.065558 -2.06098) (xy -2.086792 -2.051354)
(xy -2.137624 -2.024859) (xy -2.137624 -2.440052) (xy -2.100525 -2.420868) (xy -2.051643 -2.406025)
(xy -1.991561 -2.402222) (xy -1.931564 -2.409243) (xy -1.886256 -2.425013) (xy -1.848675 -2.455047)
(xy -1.816564 -2.498024) (xy -1.81415 -2.502436) (xy -1.803967 -2.523221) (xy -1.79653 -2.54417)
(xy -1.791411 -2.569548) (xy -1.788181 -2.603618) (xy -1.786413 -2.650641) (xy -1.785677 -2.714882)
(xy -1.785544 -2.787176) (xy -1.785544 -3.017822) (xy -1.923861 -3.017822) (xy -1.923861 -2.592533)
(xy -1.962549 -2.559979) (xy -2.002738 -2.53394) (xy -2.040797 -2.529205) (xy -2.079066 -2.541389)
(xy -2.099462 -2.55332) (xy -2.114642 -2.570313) (xy -2.125438 -2.595995) (xy -2.132683 -2.633991)
(xy -2.137208 -2.687926) (xy -2.139844 -2.761425) (xy -2.140772 -2.810347) (xy -2.143911 -3.011535)
(xy -2.209926 -3.015336) (xy -2.27594 -3.019136) (xy -2.27594 -1.77065) (xy -2.137624 -1.77065)
(xy -2.134097 -1.840254) (xy -2.122215 -1.888569) (xy -2.10002 -1.918631) (xy -2.065559 -1.933471)
(xy -2.030742 -1.936436) (xy -1.991329 -1.933028) (xy -1.965171 -1.919617) (xy -1.948814 -1.901896)
(xy -1.935937 -1.882835) (xy -1.928272 -1.861601) (xy -1.924861 -1.831849) (xy -1.924749 -1.787236)
(xy -1.925897 -1.74988) (xy -1.928532 -1.693604) (xy -1.932456 -1.656658) (xy -1.939063 -1.633223)
(xy -1.949749 -1.61748) (xy -1.959833 -1.60838) (xy -2.00197 -1.588537) (xy -2.05184 -1.585332)
(xy -2.080476 -1.592168) (xy -2.108828 -1.616464) (xy -2.127609 -1.663728) (xy -2.136712 -1.733624)
(xy -2.137624 -1.77065) (xy -2.27594 -1.77065) (xy -2.27594 -1.458614) (xy -2.206782 -1.458614)
(xy -2.16526 -1.460256) (xy -2.143838 -1.466087) (xy -2.137626 -1.477461) (xy -2.137624 -1.477798)
(xy -2.134742 -1.488938) (xy -2.12203 -1.487673) (xy -2.096757 -1.475433) (xy -2.037869 -1.456707)
(xy -1.971615 -1.454739) (xy -1.908759 -1.469184)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -1.38421 -2.406555) (xy -1.325055 -2.422339) (xy -1.280023 -2.450948) (xy -1.248246 -2.488419)
(xy -1.238366 -2.504411) (xy -1.231073 -2.521163) (xy -1.225974 -2.542592) (xy -1.222679 -2.572616)
(xy -1.220797 -2.615154) (xy -1.219937 -2.674122) (xy -1.219707 -2.75344) (xy -1.219703 -2.774484)
(xy -1.219703 -3.017822) (xy -1.280059 -3.017822) (xy -1.318557 -3.015126) (xy -1.347023 -3.008295)
(xy -1.354155 -3.004083) (xy -1.373652 -2.996813) (xy -1.393566 -3.004083) (xy -1.426353 -3.01316)
(xy -1.473978 -3.016813) (xy -1.526764 -3.015228) (xy -1.575036 -3.008589) (xy -1.603218 -3.000072)
(xy -1.657753 -2.965063) (xy -1.691835 -2.916479) (xy -1.707157 -2.851882) (xy -1.707299 -2.850223)
(xy -1.705955 -2.821566) (xy -1.584356 -2.821566) (xy -1.573726 -2.854161) (xy -1.55641 -2.872505)
(xy -1.521652 -2.886379) (xy -1.475773 -2.891917) (xy -1.428988 -2.889191) (xy -1.391514 -2.878274)
(xy -1.381015 -2.871269) (xy -1.362668 -2.838904) (xy -1.35802 -2.802111) (xy -1.35802 -2.753763)
(xy -1.427582 -2.753763) (xy -1.493667 -2.75885) (xy -1.543764 -2.773263) (xy -1.574929 -2.795729)
(xy -1.584356 -2.821566) (xy -1.705955 -2.821566) (xy -1.703987 -2.779647) (xy -1.68071 -2.723845)
(xy -1.636948 -2.681647) (xy -1.630899 -2.677808) (xy -1.604907 -2.665309) (xy -1.572735 -2.65774)
(xy -1.52776 -2.654061) (xy -1.474331 -2.653216) (xy -1.35802 -2.653169) (xy -1.35802 -2.604411)
(xy -1.362953 -2.566581) (xy -1.375543 -2.541236) (xy -1.377017 -2.539887) (xy -1.405034 -2.5288)
(xy -1.447326 -2.524503) (xy -1.494064 -2.526615) (xy -1.535418 -2.534756) (xy -1.559957 -2.546965)
(xy -1.573253 -2.556746) (xy -1.587294 -2.558613) (xy -1.606671 -2.5506) (xy -1.635976 -2.530739)
(xy -1.679803 -2.497063) (xy -1.683825 -2.493909) (xy -1.681764 -2.482236) (xy -1.664568 -2.462822)
(xy -1.638433 -2.441248) (xy -1.609552 -2.423096) (xy -1.600478 -2.418809) (xy -1.56738 -2.410256)
(xy -1.51888 -2.404155) (xy -1.464695 -2.401708) (xy -1.462161 -2.401703) (xy -1.38421 -2.406555)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -0.993356 -2.40302) (xy -0.974539 -2.40866) (xy -0.968473 -2.421053) (xy -0.968218 -2.426647)
(xy -0.967129 -2.44223) (xy -0.959632 -2.444676) (xy -0.939381 -2.433993) (xy -0.927351 -2.426694)
(xy -0.8894 -2.411063) (xy -0.844072 -2.403334) (xy -0.796544 -2.40274) (xy -0.751995 -2.408513)
(xy -0.715602 -2.419884) (xy -0.692543 -2.436088) (xy -0.687996 -2.456355) (xy -0.690291 -2.461843)
(xy -0.70702 -2.484626) (xy -0.732963 -2.512647) (xy -0.737655 -2.517177) (xy -0.762383 -2.538005)
(xy -0.783718 -2.544735) (xy -0.813555 -2.540038) (xy -0.825508 -2.536917) (xy -0.862705 -2.529421)
(xy -0.888859 -2.532792) (xy -0.910946 -2.544681) (xy -0.931178 -2.560635) (xy -0.946079 -2.5807)
(xy -0.956434 -2.608702) (xy -0.963029 -2.648467) (xy -0.966649 -2.703823) (xy -0.968078 -2.778594)
(xy -0.968218 -2.82374) (xy -0.968218 -3.017822) (xy -1.09396 -3.017822) (xy -1.09396 -2.401683)
(xy -1.031089 -2.401683) (xy -0.993356 -2.40302)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -0.201188 -3.017822) (xy -0.270346 -3.017822) (xy -0.310488 -3.016645) (xy -0.331394 -3.011772)
(xy -0.338922 -3.001186) (xy -0.339505 -2.994029) (xy -0.340774 -2.979676) (xy -0.348779 -2.976923)
(xy -0.369815 -2.985771) (xy -0.386173 -2.994029) (xy -0.448977 -3.013597) (xy -0.517248 -3.014729)
(xy -0.572752 -3.000135) (xy -0.624438 -2.964877) (xy -0.663838 -2.912835) (xy -0.685413 -2.85145)
(xy -0.685962 -2.848018) (xy -0.689167 -2.810571) (xy -0.690761 -2.756813) (xy -0.690633 -2.716155)
(xy -0.553279 -2.716155) (xy -0.550097 -2.770194) (xy -0.542859 -2.814735) (xy -0.53306 -2.839888)
(xy -0.495989 -2.87426) (xy -0.451974 -2.886582) (xy -0.406584 -2.876618) (xy -0.367797 -2.846895)
(xy -0.353108 -2.826905) (xy -0.344519 -2.80305) (xy -0.340496 -2.76823) (xy -0.339505 -2.71593)
(xy -0.341278 -2.664139) (xy -0.345963 -2.618634) (xy -0.352603 -2.588181) (xy -0.35371 -2.585452)
(xy -0.380491 -2.553) (xy -0.419579 -2.535183) (xy -0.463315 -2.532306) (xy -0.504038 -2.544674)
(xy -0.534087 -2.572593) (xy -0.537204 -2.578148) (xy -0.546961 -2.612022) (xy -0.552277 -2.660728)
(xy -0.553279 -2.716155) (xy -0.690633 -2.716155) (xy -0.690568 -2.69554) (xy -0.689664 -2.662563)
(xy -0.683514 -2.580981) (xy -0.670733 -2.51973) (xy -0.649471 -2.474449) (xy -0.617878 -2.440779)
(xy -0.587207 -2.421014) (xy -0.544354 -2.40712) (xy -0.491056 -2.402354) (xy -0.43648 -2.406236)
(xy -0.389792 -2.418282) (xy -0.365124 -2.432693) (xy -0.339505 -2.455878) (xy -0.339505 -2.162773)
(xy -0.201188 -2.162773) (xy -0.201188 -3.017822)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.281524 -2.404237) (xy 0.331255 -2.407971) (xy 0.461291 -2.797773) (xy 0.481678 -2.728614)
(xy 0.493946 -2.685874) (xy 0.510085 -2.628115) (xy 0.527512 -2.564625) (xy 0.536726 -2.53057)
(xy 0.571388 -2.401683) (xy 0.714391 -2.401683) (xy 0.671646 -2.536857) (xy 0.650596 -2.603342)
(xy 0.625167 -2.683539) (xy 0.59861 -2.767193) (xy 0.574902 -2.841782) (xy 0.520902 -3.011535)
(xy 0.462598 -3.015328) (xy 0.404295 -3.019122) (xy 0.372679 -2.914734) (xy 0.353182 -2.849889)
(xy 0.331904 -2.7784) (xy 0.313308 -2.715263) (xy 0.312574 -2.71275) (xy 0.298684 -2.669969)
(xy 0.286429 -2.640779) (xy 0.277846 -2.629741) (xy 0.276082 -2.631018) (xy 0.269891 -2.64813)
(xy 0.258128 -2.684787) (xy 0.242225 -2.736378) (xy 0.223614 -2.798294) (xy 0.213543 -2.832352)
(xy 0.159007 -3.017822) (xy 0.043264 -3.017822) (xy -0.049263 -2.725471) (xy -0.075256 -2.643462)
(xy -0.098934 -2.568987) (xy -0.11918 -2.505544) (xy -0.134874 -2.456632) (xy -0.144898 -2.425749)
(xy -0.147945 -2.416726) (xy -0.145533 -2.407487) (xy -0.126592 -2.403441) (xy -0.087177 -2.403846)
(xy -0.081007 -2.404152) (xy -0.007914 -2.407971) (xy 0.039957 -2.58401) (xy 0.057553 -2.648211)
(xy 0.073277 -2.704649) (xy 0.085746 -2.748422) (xy 0.093574 -2.77463) (xy 0.09502 -2.778903)
(xy 0.101014 -2.77399) (xy 0.113101 -2.748532) (xy 0.129893 -2.705997) (xy 0.150003 -2.64985)
(xy 0.167003 -2.59913) (xy 0.231794 -2.400504) (xy 0.281524 -2.404237)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 1.038411 -2.405417) (xy 1.091411 -2.41829) (xy 1.106731 -2.42511) (xy 1.136428 -2.442974)
(xy 1.15922 -2.463093) (xy 1.176083 -2.488962) (xy 1.187998 -2.524073) (xy 1.195942 -2.57192)
(xy 1.200894 -2.635996) (xy 1.203831 -2.719794) (xy 1.204947 -2.775768) (xy 1.209052 -3.017822)
(xy 1.138932 -3.017822) (xy 1.096393 -3.016038) (xy 1.074476 -3.009942) (xy 1.068812 -2.999706)
(xy 1.065821 -2.988637) (xy 1.052451 -2.990754) (xy 1.034233 -2.999629) (xy 0.988624 -3.013233)
(xy 0.930007 -3.016899) (xy 0.868354 -3.010903) (xy 0.813638 -2.995521) (xy 0.80873 -2.993386)
(xy 0.758723 -2.958255) (xy 0.725756 -2.909419) (xy 0.710587 -2.852333) (xy 0.711746 -2.831824)
(xy 0.835508 -2.831824) (xy 0.846413 -2.859425) (xy 0.878745 -2.879204) (xy 0.93091 -2.889819)
(xy 0.958787 -2.891228) (xy 1.005247 -2.88762) (xy 1.036129 -2.873597) (xy 1.043664 -2.866931)
(xy 1.064076 -2.830666) (xy 1.068812 -2.797773) (xy 1.068812 -2.753763) (xy 1.007513 -2.753763)
(xy 0.936256 -2.757395) (xy 0.886276 -2.768818) (xy 0.854696 -2.788824) (xy 0.847626 -2.797743)
(xy 0.835508 -2.831824) (xy 0.711746 -2.831824) (xy 0.713971 -2.792456) (xy 0.736663 -2.735244)
(xy 0.767624 -2.69658) (xy 0.786376 -2.679864) (xy 0.804733 -2.668878) (xy 0.828619 -2.66218)
(xy 0.863957 -2.658326) (xy 0.916669 -2.655873) (xy 0.937577 -2.655168) (xy 1.068812 -2.650879)
(xy 1.06862 -2.611158) (xy 1.063537 -2.569405) (xy 1.045162 -2.544158) (xy 1.008039 -2.52803)
(xy 1.007043 -2.527742) (xy 0.95441 -2.5214) (xy 0.902906 -2.529684) (xy 0.86463 -2.549827)
(xy 0.849272 -2.559773) (xy 0.83273 -2.558397) (xy 0.807275 -2.543987) (xy 0.792328 -2.533817)
(xy 0.763091 -2.512088) (xy 0.74498 -2.4958) (xy 0.742074 -2.491137) (xy 0.75404 -2.467005)
(xy 0.789396 -2.438185) (xy 0.804753 -2.428461) (xy 0.848901 -2.411714) (xy 0.908398 -2.402227)
(xy 0.974487 -2.400095) (xy 1.038411 -2.405417)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 1.635255 -2.401486) (xy 1.683595 -2.411015) (xy 1.711114 -2.425125) (xy 1.740064 -2.448568)
(xy 1.698876 -2.500571) (xy 1.673482 -2.532064) (xy 1.656238 -2.547428) (xy 1.639102 -2.549776)
(xy 1.614027 -2.542217) (xy 1.602257 -2.537941) (xy 1.55427 -2.531631) (xy 1.510324 -2.545156)
(xy 1.47806 -2.57571) (xy 1.472819 -2.585452) (xy 1.467112 -2.611258) (xy 1.462706 -2.658817)
(xy 1.459811 -2.724758) (xy 1.458631 -2.80571) (xy 1.458614 -2.817226) (xy 1.458614 -3.017822)
(xy 1.320297 -3.017822) (xy 1.320297 -2.401683) (xy 1.389456 -2.401683) (xy 1.429333 -2.402725)
(xy 1.450107 -2.407358) (xy 1.457789 -2.417849) (xy 1.458614 -2.427745) (xy 1.458614 -2.453806)
(xy 1.491745 -2.427745) (xy 1.529735 -2.409965) (xy 1.58077 -2.401174) (xy 1.635255 -2.401486)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 2.032581 -2.40497) (xy 2.092685 -2.420597) (xy 2.143021 -2.452848) (xy 2.167393 -2.47694)
(xy 2.207345 -2.533895) (xy 2.230242 -2.599965) (xy 2.238108 -2.681182) (xy 2.238148 -2.687748)
(xy 2.238218 -2.753763) (xy 1.858264 -2.753763) (xy 1.866363 -2.788342) (xy 1.880987 -2.819659)
(xy 1.906581 -2.852291) (xy 1.911935 -2.8575) (xy 1.957943 -2.885694) (xy 2.01041 -2.890475)
(xy 2.070803 -2.871926) (xy 2.08104 -2.866931) (xy 2.112439 -2.851745) (xy 2.13347 -2.843094)
(xy 2.137139 -2.842293) (xy 2.149948 -2.850063) (xy 2.174378 -2.869072) (xy 2.186779 -2.87946)
(xy 2.212476 -2.903321) (xy 2.220915 -2.919077) (xy 2.215058 -2.933571) (xy 2.211928 -2.937534)
(xy 2.190725 -2.954879) (xy 2.155738 -2.975959) (xy 2.131337 -2.988265) (xy 2.062072 -3.009946)
(xy 1.985388 -3.016971) (xy 1.912765 -3.008647) (xy 1.892426 -3.002686) (xy 1.829476 -2.968952)
(xy 1.782815 -2.917045) (xy 1.752173 -2.846459) (xy 1.737282 -2.756692) (xy 1.735647 -2.709753)
(xy 1.740421 -2.641413) (xy 1.86099 -2.641413) (xy 1.872652 -2.646465) (xy 1.903998 -2.650429)
(xy 1.949571 -2.652768) (xy 1.980446 -2.653169) (xy 2.035981 -2.652783) (xy 2.071033 -2.650975)
(xy 2.090262 -2.646773) (xy 2.09833 -2.639203) (xy 2.099901 -2.628218) (xy 2.089121 -2.594381)
(xy 2.06198 -2.56094) (xy 2.026277 -2.535272) (xy 1.99056 -2.524772) (xy 1.942048 -2.534086)
(xy 1.900053 -2.561013) (xy 1.870936 -2.599827) (xy 1.86099 -2.641413) (xy 1.740421 -2.641413)
(xy 1.742599 -2.610236) (xy 1.764055 -2.530949) (xy 1.80047 -2.471263) (xy 1.852297 -2.430549)
(xy 1.91999 -2.408179) (xy 1.956662 -2.403871) (xy 2.032581 -2.40497)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -2.538261 -1.465148) (xy -2.472479 -1.494231) (xy -2.42254 -1.542793) (xy -2.388374 -1.610908)
(xy -2.369907 -1.698651) (xy -2.368583 -1.712351) (xy -2.367546 -1.808939) (xy -2.380993 -1.893602)
(xy -2.408108 -1.962221) (xy -2.422627 -1.984294) (xy -2.473201 -2.031011) (xy -2.537609 -2.061268)
(xy -2.609666 -2.073824) (xy -2.683185 -2.067439) (xy -2.739072 -2.047772) (xy -2.787132 -2.014629)
(xy -2.826412 -1.971175) (xy -2.827092 -1.970158) (xy -2.843044 -1.943338) (xy -2.85341 -1.916368)
(xy -2.859688 -1.882332) (xy -2.863373 -1.83431) (xy -2.864997 -1.794931) (xy -2.865672 -1.759219)
(xy -2.739955 -1.759219) (xy -2.738726 -1.79477) (xy -2.734266 -1.842094) (xy -2.726397 -1.872465)
(xy -2.712207 -1.894072) (xy -2.698917 -1.906694) (xy -2.651802 -1.933122) (xy -2.602505 -1.936653)
(xy -2.556593 -1.917639) (xy -2.533638 -1.896331) (xy -2.517096 -1.874859) (xy -2.507421 -1.854313)
(xy -2.503174 -1.827574) (xy -2.50292 -1.787523) (xy -2.504228 -1.750638) (xy -2.507043 -1.697947)
(xy -2.511505 -1.663772) (xy -2.519548 -1.64148) (xy -2.533103 -1.624442) (xy -2.543845 -1.614703)
(xy -2.588777 -1.589123) (xy -2.637249 -1.587847) (xy -2.677894 -1.602999) (xy -2.712567 -1.634642)
(xy -2.733224 -1.68662) (xy -2.739955 -1.759219) (xy -2.865672 -1.759219) (xy -2.866479 -1.716621)
(xy -2.863948 -1.658056) (xy -2.856362 -1.614007) (xy -2.842681 -1.579248) (xy -2.821865 -1.548551)
(xy -2.814147 -1.539436) (xy -2.765889 -1.494021) (xy -2.714128 -1.467493) (xy -2.650828 -1.456379)
(xy -2.619961 -1.455471) (xy -2.538261 -1.465148)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -1.356699 -1.472614) (xy -1.344168 -1.478514) (xy -1.300799 -1.510283) (xy -1.25979 -1.556646)
(xy -1.229168 -1.607696) (xy -1.220459 -1.631166) (xy -1.212512 -1.673091) (xy -1.207774 -1.723757)
(xy -1.207199 -1.744679) (xy -1.207129 -1.810693) (xy -1.587083 -1.810693) (xy -1.578983 -1.845273)
(xy -1.559104 -1.88617) (xy -1.524347 -1.921514) (xy -1.482998 -1.944282) (xy -1.456649 -1.94901)
(xy -1.420916 -1.943273) (xy -1.378282 -1.928882) (xy -1.363799 -1.922262) (xy -1.31024 -1.895513)
(xy -1.264533 -1.930376) (xy -1.238158 -1.953955) (xy -1.224124 -1.973417) (xy -1.223414 -1.979129)
(xy -1.235951 -1.992973) (xy -1.263428 -2.014012) (xy -1.288366 -2.030425) (xy -1.355664 -2.05993)
(xy -1.43111 -2.073284) (xy -1.505888 -2.069812) (xy -1.565495 -2.051663) (xy -1.626941 -2.012784)
(xy -1.670608 -1.961595) (xy -1.697926 -1.895367) (xy -1.710322 -1.811371) (xy -1.711421 -1.772936)
(xy -1.707022 -1.684861) (xy -1.706482 -1.682299) (xy -1.580582 -1.682299) (xy -1.577115 -1.690558)
(xy -1.562863 -1.695113) (xy -1.53347 -1.697065) (xy -1.484575 -1.697517) (xy -1.465748 -1.697525)
(xy -1.408467 -1.696843) (xy -1.372141 -1.694364) (xy -1.352604 -1.689443) (xy -1.34569 -1.681434)
(xy -1.345445 -1.678862) (xy -1.353336 -1.658423) (xy -1.373085 -1.629789) (xy -1.381575 -1.619763)
(xy -1.413094 -1.591408) (xy -1.445949 -1.580259) (xy -1.463651 -1.579327) (xy -1.511539 -1.590981)
(xy -1.551699 -1.622285) (xy -1.577173 -1.667752) (xy -1.577625 -1.669233) (xy -1.580582 -1.682299)
(xy -1.706482 -1.682299) (xy -1.692392 -1.61551) (xy -1.666038 -1.560025) (xy -1.633807 -1.520639)
(xy -1.574217 -1.477931) (xy -1.504168 -1.455109) (xy -1.429661 -1.453046) (xy -1.356699 -1.472614)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.014017 -1.456452) (xy 0.061634 -1.465482) (xy 0.111034 -1.48437) (xy 0.116312 -1.486777)
(xy 0.153774 -1.506476) (xy 0.179717 -1.524781) (xy 0.188103 -1.536508) (xy 0.180117 -1.555632)
(xy 0.16072 -1.58385) (xy 0.15211 -1.594384) (xy 0.116628 -1.635847) (xy 0.070885 -1.608858)
(xy 0.02735 -1.590878) (xy -0.02295 -1.581267) (xy -0.071188 -1.58066) (xy -0.108533 -1.589691)
(xy -0.117495 -1.595327) (xy -0.134563 -1.621171) (xy -0.136637 -1.650941) (xy -0.123866 -1.674197)
(xy -0.116312 -1.678708) (xy -0.093675 -1.684309) (xy -0.053885 -1.690892) (xy -0.004834 -1.697183)
(xy 0.004215 -1.69817) (xy 0.082996 -1.711798) (xy 0.140136 -1.734946) (xy 0.17803 -1.769752)
(xy 0.199079 -1.818354) (xy 0.205635 -1.877718) (xy 0.196577 -1.945198) (xy 0.167164 -1.998188)
(xy 0.117278 -2.036783) (xy 0.0468 -2.061081) (xy -0.031435 -2.070667) (xy -0.095234 -2.070552)
(xy -0.146984 -2.061845) (xy -0.182327 -2.049825) (xy -0.226983 -2.02888) (xy -0.268253 -2.004574)
(xy -0.282921 -1.993876) (xy -0.320643 -1.963084) (xy -0.275148 -1.917049) (xy -0.229653 -1.871013)
(xy -0.177928 -1.905243) (xy -0.126048 -1.930952) (xy -0.070649 -1.944399) (xy -0.017395 -1.945818)
(xy 0.028049 -1.935443) (xy 0.060016 -1.913507) (xy 0.070338 -1.894998) (xy 0.068789 -1.865314)
(xy 0.04314 -1.842615) (xy -0.00654 -1.82694) (xy -0.060969 -1.819695) (xy -0.144736 -1.805873)
(xy -0.206967 -1.779796) (xy -0.248493 -1.740699) (xy -0.270147 -1.68782) (xy -0.273147 -1.625126)
(xy -0.258329 -1.559642) (xy -0.224546 -1.510144) (xy -0.171495 -1.476408) (xy -0.098874 -1.458207)
(xy -0.045072 -1.454639) (xy 0.014017 -1.456452)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.610762 -1.466055) (xy 0.674363 -1.500692) (xy 0.724123 -1.555372) (xy 0.747568 -1.599842)
(xy 0.757634 -1.639121) (xy 0.764156 -1.695116) (xy 0.766951 -1.759621) (xy 0.765836 -1.824429)
(xy 0.760626 -1.881334) (xy 0.754541 -1.911727) (xy 0.734014 -1.953306) (xy 0.698463 -1.997468)
(xy 0.655619 -2.036087) (xy 0.613211 -2.061034) (xy 0.612177 -2.06143) (xy 0.559553 -2.072331)
(xy 0.497188 -2.072601) (xy 0.437924 -2.062676) (xy 0.41504 -2.054722) (xy 0.356102 -2.0213)
(xy 0.31389 -1.977511) (xy 0.286156 -1.919538) (xy 0.270651 -1.843565) (xy 0.267143 -1.803771)
(xy 0.26759 -1.753766) (xy 0.402376 -1.753766) (xy 0.406917 -1.826732) (xy 0.419986 -1.882334)
(xy 0.440756 -1.917861) (xy 0.455552 -1.92802) (xy 0.493464 -1.935104) (xy 0.538527 -1.933007)
(xy 0.577487 -1.922812) (xy 0.587704 -1.917204) (xy 0.614659 -1.884538) (xy 0.632451 -1.834545)
(xy 0.640024 -1.773705) (xy 0.636325 -1.708497) (xy 0.628057 -1.669253) (xy 0.60432 -1.623805)
(xy 0.566849 -1.595396) (xy 0.52172 -1.585573) (xy 0.475011 -1.595887) (xy 0.439132 -1.621112)
(xy 0.420277 -1.641925) (xy 0.409272 -1.662439) (xy 0.404026 -1.690203) (xy 0.402449 -1.732762)
(xy 0.402376 -1.753766) (xy 0.26759 -1.753766) (xy 0.268094 -1.69758) (xy 0.285388 -1.610501)
(xy 0.319029 -1.54253) (xy 0.369018 -1.493664) (xy 0.435356 -1.463899) (xy 0.449601 -1.460448)
(xy 0.53521 -1.452345) (xy 0.610762 -1.466055)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.993367 -1.654342) (xy 0.994555 -1.746563) (xy 0.998897 -1.81661) (xy 1.007558 -1.867381)
(xy 1.021704 -1.901772) (xy 1.0425 -1.922679) (xy 1.07111 -1.933) (xy 1.106535 -1.935636)
(xy 1.143636 -1.932682) (xy 1.171818 -1.921889) (xy 1.192243 -1.90036) (xy 1.206079 -1.865199)
(xy 1.214491 -1.81351) (xy 1.218643 -1.742394) (xy 1.219703 -1.654342) (xy 1.219703 -1.458614)
(xy 1.35802 -1.458614) (xy 1.35802 -2.062179) (xy 1.288862 -2.062179) (xy 1.24717 -2.060489)
(xy 1.225701 -2.054556) (xy 1.219703 -2.043293) (xy 1.216091 -2.033261) (xy 1.201714 -2.035383)
(xy 1.172736 -2.04958) (xy 1.106319 -2.07148) (xy 1.035875 -2.069928) (xy 0.968377 -2.046147)
(xy 0.936233 -2.027362) (xy 0.911715 -2.007022) (xy 0.893804 -1.981573) (xy 0.881479 -1.947458)
(xy 0.873723 -1.901121) (xy 0.869516 -1.839007) (xy 0.86784 -1.757561) (xy 0.867624 -1.694578)
(xy 0.867624 -1.458614) (xy 0.993367 -1.458614) (xy 0.993367 -1.654342)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 2.217226 -1.46388) (xy 2.29008 -1.49483) (xy 2.313027 -1.509895) (xy 2.342354 -1.533048)
(xy 2.360764 -1.551253) (xy 2.363961 -1.557183) (xy 2.354935 -1.57034) (xy 2.331837 -1.592667)
(xy 2.313344 -1.60825) (xy 2.262728 -1.648926) (xy 2.22276 -1.615295) (xy 2.191874 -1.593584)
(xy 2.161759 -1.58609) (xy 2.127292 -1.58792) (xy 2.072561 -1.601528) (xy 2.034886 -1.629772)
(xy 2.011991 -1.675433) (xy 2.001597 -1.741289) (xy 2.001595 -1.741331) (xy 2.002494 -1.814939)
(xy 2.016463 -1.868946) (xy 2.044328 -1.905716) (xy 2.063325 -1.918168) (xy 2.113776 -1.933673)
(xy 2.167663 -1.933683) (xy 2.214546 -1.918638) (xy 2.225644 -1.911287) (xy 2.253476 -1.892511)
(xy 2.275236 -1.889434) (xy 2.298704 -1.903409) (xy 2.324649 -1.92851) (xy 2.365716 -1.97088)
(xy 2.320121 -2.008464) (xy 2.249674 -2.050882) (xy 2.170233 -2.071785) (xy 2.087215 -2.070272)
(xy 2.032694 -2.056411) (xy 1.96897 -2.022135) (xy 1.918005 -1.968212) (xy 1.894851 -1.930149)
(xy 1.876099 -1.875536) (xy 1.866715 -1.806369) (xy 1.866643 -1.731407) (xy 1.875824 -1.659409)
(xy 1.894199 -1.599137) (xy 1.897093 -1.592958) (xy 1.939952 -1.532351) (xy 1.997979 -1.488224)
(xy 2.066591 -1.461493) (xy 2.141201 -1.453073) (xy 2.217226 -1.46388)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 2.677898 -1.456457) (xy 2.710096 -1.464279) (xy 2.771825 -1.492921) (xy 2.82461 -1.536667)
(xy 2.861141 -1.589117) (xy 2.86616 -1.600893) (xy 2.873045 -1.63174) (xy 2.877864 -1.677371)
(xy 2.879505 -1.723492) (xy 2.879505 -1.810693) (xy 2.697178 -1.810693) (xy 2.621979 -1.810978)
(xy 2.569003 -1.812704) (xy 2.535325 -1.817181) (xy 2.51802 -1.82572) (xy 2.514163 -1.83963)
(xy 2.520829 -1.860222) (xy 2.53277 -1.884315) (xy 2.56608 -1.924525) (xy 2.612368 -1.944558)
(xy 2.668944 -1.943905) (xy 2.733031 -1.922101) (xy 2.788417 -1.895193) (xy 2.834375 -1.931532)
(xy 2.880333 -1.967872) (xy 2.837096 -2.007819) (xy 2.779374 -2.045563) (xy 2.708386 -2.06832)
(xy 2.632029 -2.074688) (xy 2.558199 -2.063268) (xy 2.546287 -2.059393) (xy 2.481399 -2.025506)
(xy 2.43313 -1.974986) (xy 2.400465 -1.906325) (xy 2.382385 -1.818014) (xy 2.382175 -1.816121)
(xy 2.380556 -1.719878) (xy 2.3871 -1.685542) (xy 2.514852 -1.685542) (xy 2.526584 -1.690822)
(xy 2.558438 -1.694867) (xy 2.605397 -1.697176) (xy 2.635154 -1.697525) (xy 2.690648 -1.697306)
(xy 2.725346 -1.695916) (xy 2.743601 -1.692251) (xy 2.749766 -1.68521) (xy 2.748195 -1.67369)
(xy 2.746878 -1.669233) (xy 2.724382 -1.627355) (xy 2.689003 -1.593604) (xy 2.65778 -1.578773)
(xy 2.616301 -1.579668) (xy 2.574269 -1.598164) (xy 2.539012 -1.628786) (xy 2.517854 -1.666062)
(xy 2.514852 -1.685542) (xy 2.3871 -1.685542) (xy 2.39669 -1.635229) (xy 2.428698 -1.564191)
(xy 2.474701 -1.508779) (xy 2.532821 -1.471009) (xy 2.60118 -1.452896) (xy 2.677898 -1.456457)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -0.754012 -1.469002) (xy -0.722717 -1.48395) (xy -0.692409 -1.505541) (xy -0.669318 -1.530391)
(xy -0.6525 -1.562087) (xy -0.641006 -1.604214) (xy -0.633891 -1.660358) (xy -0.630207 -1.734106)
(xy -0.629008 -1.829044) (xy -0.628989 -1.838985) (xy -0.628713 -2.062179) (xy -0.76703 -2.062179)
(xy -0.76703 -1.856418) (xy -0.767128 -1.780189) (xy -0.767809 -1.724939) (xy -0.769651 -1.686501)
(xy -0.773233 -1.660706) (xy -0.779132 -1.643384) (xy -0.787927 -1.630368) (xy -0.80018 -1.617507)
(xy -0.843047 -1.589873) (xy -0.889843 -1.584745) (xy -0.934424 -1.602217) (xy -0.949928 -1.615221)
(xy -0.96131 -1.627447) (xy -0.969481 -1.64054) (xy -0.974974 -1.658615) (xy -0.97832 -1.685787)
(xy -0.980051 -1.72617) (xy -0.980697 -1.783879) (xy -0.980792 -1.854132) (xy -0.980792 -2.062179)
(xy -1.119109 -2.062179) (xy -1.119109 -1.458614) (xy -1.04995 -1.458614) (xy -1.008428 -1.460256)
(xy -0.987006 -1.466087) (xy -0.980795 -1.477461) (xy -0.980792 -1.477798) (xy -0.97791 -1.488938)
(xy -0.965199 -1.487674) (xy -0.939926 -1.475434) (xy -0.882605 -1.457424) (xy -0.817037 -1.455421)
(xy -0.754012 -1.469002)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 1.79946 -1.45803) (xy 1.842711 -1.471245) (xy 1.870558 -1.487941) (xy 1.879629 -1.501145)
(xy 1.877132 -1.516797) (xy 1.860931 -1.541385) (xy 1.847232 -1.5588) (xy 1.818992 -1.590283)
(xy 1.797775 -1.603529) (xy 1.779688 -1.602664) (xy 1.726035 -1.58901) (xy 1.68663 -1.58963)
(xy 1.654632 -1.605104) (xy 1.64389 -1.614161) (xy 1.609505 -1.646027) (xy 1.609505 -2.062179)
(xy 1.471188 -2.062179) (xy 1.471188 -1.458614) (xy 1.540347 -1.458614) (xy 1.581869 -1.460256)
(xy 1.603291 -1.466087) (xy 1.609502 -1.477461) (xy 1.609505 -1.477798) (xy 1.612439 -1.489713)
(xy 1.625704 -1.488159) (xy 1.644084 -1.479563) (xy 1.682046 -1.463568) (xy 1.712872 -1.453945)
(xy 1.752536 -1.451478) (xy 1.79946 -1.45803)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.376964 2.709982) (xy 0.433812 2.40843) (xy 0.853338 2.235488) (xy 1.104984 2.406605)
(xy 1.175458 2.45425) (xy 1.239163 2.49679) (xy 1.293126 2.532285) (xy 1.334373 2.55879)
(xy 1.359934 2.574364) (xy 1.366895 2.577722) (xy 1.379435 2.569086) (xy 1.406231 2.545208)
(xy 1.44428 2.509141) (xy 1.490579 2.463933) (xy 1.542123 2.412636) (xy 1.595909 2.358299)
(xy 1.648935 2.303972) (xy 1.698195 2.252705) (xy 1.740687 2.207549) (xy 1.773407 2.171554)
(xy 1.793351 2.14777) (xy 1.798119 2.13981) (xy 1.791257 2.125135) (xy 1.77202 2.092986)
(xy 1.74243 2.046508) (xy 1.70451 1.988844) (xy 1.660282 1.92314) (xy 1.634654 1.885664)
(xy 1.587941 1.817232) (xy 1.546432 1.75548) (xy 1.51214 1.703481) (xy 1.48708 1.664308)
(xy 1.473264 1.641035) (xy 1.471188 1.636145) (xy 1.475895 1.622245) (xy 1.488723 1.58985)
(xy 1.507738 1.543515) (xy 1.531003 1.487794) (xy 1.556584 1.427242) (xy 1.582545 1.366414)
(xy 1.60695 1.309864) (xy 1.627863 1.262148) (xy 1.643349 1.227819) (xy 1.651472 1.211432)
(xy 1.651952 1.210788) (xy 1.664707 1.207659) (xy 1.698677 1.200679) (xy 1.75034 1.190533)
(xy 1.816176 1.177908) (xy 1.892664 1.163491) (xy 1.93729 1.155177) (xy 2.019021 1.139616)
(xy 2.092843 1.124808) (xy 2.155021 1.111564) (xy 2.201822 1.100695) (xy 2.229509 1.093011)
(xy 2.235074 1.090573) (xy 2.240526 1.07407) (xy 2.244924 1.0368) (xy 2.248272 0.98312)
(xy 2.250574 0.917388) (xy 2.251832 0.843963) (xy 2.252048 0.767204) (xy 2.251227 0.691468)
(xy 2.249371 0.621114) (xy 2.246482 0.5605) (xy 2.242565 0.513984) (xy 2.237622 0.485925)
(xy 2.234657 0.480084) (xy 2.216934 0.473083) (xy 2.179381 0.463073) (xy 2.126964 0.451231)
(xy 2.064652 0.438733) (xy 2.0429 0.43469) (xy 1.938024 0.41548) (xy 1.85518 0.400009)
(xy 1.79163 0.387663) (xy 1.744637 0.377827) (xy 1.711463 0.369886) (xy 1.689371 0.363224)
(xy 1.675624 0.357227) (xy 1.667484 0.351281) (xy 1.666345 0.350106) (xy 1.654977 0.331174)
(xy 1.637635 0.294331) (xy 1.61605 0.244087) (xy 1.591954 0.184954) (xy 1.567079 0.121444)
(xy 1.543157 0.058068) (xy 1.521919 -0.000662) (xy 1.505097 -0.050235) (xy 1.494422 -0.086139)
(xy 1.491627 -0.103862) (xy 1.49186 -0.104483) (xy 1.501331 -0.11897) (xy 1.522818 -0.150844)
(xy 1.554063 -0.196789) (xy 1.592807 -0.253485) (xy 1.636793 -0.317617) (xy 1.649319 -0.335842)
(xy 1.693984 -0.401914) (xy 1.733288 -0.4622) (xy 1.765088 -0.513235) (xy 1.787245 -0.55156)
(xy 1.797617 -0.573711) (xy 1.798119 -0.576432) (xy 1.789405 -0.590736) (xy 1.765325 -0.619072)
(xy 1.728976 -0.658396) (xy 1.683453 -0.705661) (xy 1.631852 -0.757823) (xy 1.577267 -0.811835)
(xy 1.522794 -0.864653) (xy 1.471529 -0.913231) (xy 1.426567 -0.954523) (xy 1.391004 -0.985485)
(xy 1.367935 -1.00307) (xy 1.361554 -1.005941) (xy 1.346699 -0.999178) (xy 1.316286 -0.980939)
(xy 1.275268 -0.954297) (xy 1.243709 -0.932852) (xy 1.186525 -0.893503) (xy 1.118806 -0.847171)
(xy 1.05088 -0.800913) (xy 1.014361 -0.776155) (xy 0.890752 -0.692547) (xy 0.786991 -0.74865)
(xy 0.73972 -0.773228) (xy 0.699523 -0.792331) (xy 0.672326 -0.803227) (xy 0.665402 -0.804743)
(xy 0.657077 -0.793549) (xy 0.640654 -0.761917) (xy 0.617357 -0.712765) (xy 0.588414 -0.64901)
(xy 0.55505 -0.573571) (xy 0.518491 -0.489364) (xy 0.479964 -0.399308) (xy 0.440694 -0.306321)
(xy 0.401908 -0.21332) (xy 0.36483 -0.123223) (xy 0.330689 -0.038948) (xy 0.300708 0.036587)
(xy 0.276116 0.100466) (xy 0.258136 0.149769) (xy 0.247997 0.181579) (xy 0.246366 0.192504)
(xy 0.259291 0.206439) (xy 0.287589 0.22906) (xy 0.325346 0.255667) (xy 0.328515 0.257772)
(xy 0.4261 0.335886) (xy 0.504786 0.427018) (xy 0.563891 0.528255) (xy 0.602732 0.636682)
(xy 0.620628 0.749386) (xy 0.616897 0.863452) (xy 0.590857 0.975966) (xy 0.541825 1.084015)
(xy 0.5274 1.107655) (xy 0.452369 1.203113) (xy 0.36373 1.279768) (xy 0.264549 1.33722)
(xy 0.157895 1.375071) (xy 0.046836 1.392922) (xy -0.065561 1.390375) (xy -0.176227 1.36703)
(xy -0.282094 1.32249) (xy -0.380095 1.256355) (xy -0.41041 1.229513) (xy -0.487562 1.145488)
(xy -0.543782 1.057034) (xy -0.582347 0.957885) (xy -0.603826 0.859697) (xy -0.609128 0.749303)
(xy -0.591448 0.63836) (xy -0.552581 0.530619) (xy -0.494323 0.429831) (xy -0.418469 0.339744)
(xy -0.326817 0.264108) (xy -0.314772 0.256136) (xy -0.276611 0.230026) (xy -0.247601 0.207405)
(xy -0.233732 0.192961) (xy -0.233531 0.192504) (xy -0.236508 0.176879) (xy -0.248311 0.141418)
(xy -0.267714 0.089038) (xy -0.293488 0.022655) (xy -0.324409 -0.054814) (xy -0.359249 -0.14045)
(xy -0.396783 -0.231337) (xy -0.435783 -0.324559) (xy -0.475023 -0.417197) (xy -0.513276 -0.506335)
(xy -0.549317 -0.589055) (xy -0.581917 -0.662441) (xy -0.609852 -0.723575) (xy -0.631895 -0.769541)
(xy -0.646818 -0.797421) (xy -0.652828 -0.804743) (xy -0.671191 -0.799041) (xy -0.705552 -0.783749)
(xy -0.749984 -0.761599) (xy -0.774417 -0.74865) (xy -0.878178 -0.692547) (xy -1.001787 -0.776155)
(xy -1.064886 -0.818987) (xy -1.13397 -0.866122) (xy -1.198707 -0.910503) (xy -1.231134 -0.932852)
(xy -1.276741 -0.963477) (xy -1.31536 -0.987747) (xy -1.341952 -1.002587) (xy -1.35059 -1.005724)
(xy -1.363161 -0.997261) (xy -1.390984 -0.973636) (xy -1.431361 -0.937302) (xy -1.481595 -0.890711)
(xy -1.538988 -0.836317) (xy -1.575286 -0.801392) (xy -1.63879 -0.738996) (xy -1.693673 -0.683188)
(xy -1.737714 -0.636354) (xy -1.768695 -0.600882) (xy -1.784398 -0.579161) (xy -1.785905 -0.574752)
(xy -1.778914 -0.557985) (xy -1.759594 -0.524082) (xy -1.730091 -0.476476) (xy -1.692545 -0.418599)
(xy -1.6491 -0.353884) (xy -1.636745 -0.335842) (xy -1.591727 -0.270267) (xy -1.55134 -0.211228)
(xy -1.51784 -0.162042) (xy -1.493486 -0.126028) (xy -1.480536 -0.106502) (xy -1.479285 -0.104483)
(xy -1.481156 -0.088922) (xy -1.491087 -0.054709) (xy -1.507347 -0.006355) (xy -1.528205 0.051629)
(xy -1.551927 0.11473) (xy -1.576784 0.178437) (xy -1.601042 0.238239) (xy -1.622971 0.289624)
(xy -1.640838 0.328081) (xy -1.652913 0.349098) (xy -1.653771 0.350106) (xy -1.661154 0.356112)
(xy -1.673625 0.362052) (xy -1.69392 0.36854) (xy -1.724778 0.376191) (xy -1.768934 0.38562)
(xy -1.829126 0.397441) (xy -1.908093 0.412271) (xy -2.00857 0.430723) (xy -2.030325 0.43469)
(xy -2.094802 0.447147) (xy -2.151011 0.459334) (xy -2.193987 0.470074) (xy -2.21876 0.478191)
(xy -2.222082 0.480084) (xy -2.227556 0.496862) (xy -2.232006 0.534355) (xy -2.235428 0.588206)
(xy -2.237819 0.654056) (xy -2.239177 0.727547) (xy -2.239499 0.80432) (xy -2.238781 0.880017)
(xy -2.237021 0.95028) (xy -2.234216 1.01075) (xy -2.230362 1.05707) (xy -2.225457 1.084881)
(xy -2.2225 1.090573) (xy -2.206037 1.096314) (xy -2.168551 1.105655) (xy -2.113775 1.117785)
(xy -2.045445 1.131893) (xy -1.967294 1.14717) (xy -1.924716 1.155177) (xy -1.843929 1.170279)
(xy -1.771887 1.18396) (xy -1.712111 1.195533) (xy -1.668121 1.204313) (xy -1.643439 1.209613)
(xy -1.639377 1.210788) (xy -1.632511 1.224035) (xy -1.617998 1.255943) (xy -1.597771 1.301953)
(xy -1.573766 1.357508) (xy -1.547918 1.418047) (xy -1.52216 1.479014) (xy -1.498427 1.535849)
(xy -1.478654 1.583994) (xy -1.464776 1.61889) (xy -1.458726 1.635979) (xy -1.458614 1.636726)
(xy -1.465472 1.650207) (xy -1.484698 1.68123) (xy -1.514272 1.726711) (xy -1.552173 1.783568)
(xy -1.59638 1.848717) (xy -1.622079 1.886138) (xy -1.668907 1.954753) (xy -1.710499 2.017048)
(xy -1.744825 2.069871) (xy -1.769857 2.110073) (xy -1.783565 2.1345) (xy -1.785544 2.139976)
(xy -1.777034 2.152722) (xy -1.753507 2.179937) (xy -1.717968 2.218572) (xy -1.673423 2.265577)
(xy -1.622877 2.317905) (xy -1.569336 2.372505) (xy -1.515805 2.42633) (xy -1.465289 2.47633)
(xy -1.420794 2.519457) (xy -1.385325 2.552661) (xy -1.361887 2.572894) (xy -1.354046 2.577722)
(xy -1.34128 2.570933) (xy -1.310744 2.551858) (xy -1.26541 2.522439) (xy -1.208244 2.484619)
(xy -1.142216 2.440339) (xy -1.09241 2.406605) (xy -0.840764 2.235488) (xy -0.631001 2.321959)
(xy -0.421237 2.40843) (xy -0.364389 2.709982) (xy -0.30754 3.011534) (xy 0.320115 3.011534)
(xy 0.376964 2.709982)) (layer B.SilkS) (width 0.01))
)
(gr_text øko (at 131.8 101.2 270) (layer B.SilkS)
(effects (font (size 3 3) (thickness 0.5)) (justify mirror))
)
(gr_line (start 178 113.5) (end 128 113.5) (layer Edge.Cuts) (width 0.001))
(gr_line (start 178 81.5) (end 128 81.5) (layer Edge.Cuts) (width 0.001))
(dimension 16 (width 0.3) (layer Dwgs.User)
(gr_text "16.000 mm" (at 117.9 105.3 90) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 113.9 97.3) (xy 116.386421 97.3)))
(feature2 (pts (xy 113.9 113.3) (xy 116.386421 113.3)))
(crossbar (pts (xy 115.8 113.3) (xy 115.8 97.3)))
(arrow1a (pts (xy 115.8 97.3) (xy 116.386421 98.426504)))
(arrow1b (pts (xy 115.8 97.3) (xy 115.213579 98.426504)))
(arrow2a (pts (xy 115.8 113.3) (xy 116.386421 112.173496)))
(arrow2b (pts (xy 115.8 113.3) (xy 115.213579 112.173496)))
)
(dimension 10 (width 0.3) (layer Dwgs.User)
(gr_text "10.000 mm" (at 159.9 74.8) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 164.9 78.9) (xy 164.9 76.313579)))
(feature2 (pts (xy 154.9 78.9) (xy 154.9 76.313579)))
(crossbar (pts (xy 154.9 76.9) (xy 164.9 76.9)))
(arrow1a (pts (xy 164.9 76.9) (xy 163.773496 77.486421)))
(arrow1b (pts (xy 164.9 76.9) (xy 163.773496 76.313579)))
(arrow2a (pts (xy 154.9 76.9) (xy 156.026504 77.486421)))
(arrow2b (pts (xy 154.9 76.9) (xy 156.026504 76.313579)))
)
(gr_text OUT+ (at 146 95.2) (layer B.SilkS) (tstamp 5C3A792E)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text B+ (at 147.1 96.7) (layer B.SilkS) (tstamp 5C3A7894)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text OUT- (at 146 108.5) (layer B.SilkS) (tstamp 5C3A77FA)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text B- (at 147.2 106) (layer B.SilkS) (tstamp 5C3A7760)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text 100n (at 143.4 103.1) (layer B.SilkS) (tstamp 5C3A76C4)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text 100k (at 145.6 99.8) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text "2019 okoyono.de" (at 148.7 112.2) (layer B.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.15)) (justify left mirror))
)
(gr_line (start 173.9 88.4) (end 174.2 88.7) (layer B.SilkS) (width 0.2))
(gr_line (start 173.6 88.7) (end 173.9 88.4) (layer B.SilkS) (width 0.2))
(gr_line (start 173.9 88.6) (end 173.9 88.4) (layer B.SilkS) (width 0.2))
(gr_line (start 173.9 91) (end 173.9 88.6) (layer B.SilkS) (width 0.2))
(gr_line (start 169.7 91.1) (end 173.9 91.1) (layer B.SilkS) (width 0.2))
(gr_text "Bridged: DeepSleep mode\nOpen: Flash firmware" (at 169.5 91.1) (layer B.SilkS)
(effects (font (size 0.7 0.7) (thickness 0.15)) (justify left mirror))
)
(gr_text "Der Zugang zu Computern und\nallem, was einem zeigen kann,\nwie diese Welt funktioniert, sollte\nunbegrenzt und vollständig sein.\n\nAlle Informationen müssen frei sein.\n\nMißtraue Autoritäten fördere\nDezentralisierung.\n\nÖffentliche Daten nützen, private\nDaten schützen." (at 172.5 101.3) (layer B.SilkS) (tstamp 5C4D83B0)
(effects (font (size 0.7 0.7) (thickness 0.1)) (justify left mirror))
)
(gr_line (start 134.5 87.3) (end 134.5 93.3) (layer F.SilkS) (width 0.2))
(gr_text WIND (at 141.9 88.9 90) (layer F.SilkS) (tstamp 5C399EEB)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text CELL- (at 139.3 89.4 90) (layer F.SilkS) (tstamp 5C399EE9)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SOLAR+ (at 135.4 89.9 90) (layer F.SilkS) (tstamp 5C399EE7)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text SOLAR- (at 136.7 89.9 90) (layer F.SilkS) (tstamp 5C399EE5)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text CELL+ (at 138 89.4 90) (layer F.SilkS) (tstamp 5C399EE3)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_text WIND (at 140.6 88.9 90) (layer F.SilkS) (tstamp 5C399EE2)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 148.6 83) (end 148.6 90.4) (layer B.SilkS) (width 0.2))
(gr_line (start 133.3 83) (end 133.3 92.8) (layer B.SilkS) (width 0.2))
(gr_line (start 143.5 82.9) (end 143.5 92.7) (layer B.SilkS) (width 0.2))
(gr_line (start 138.4 83) (end 138.4 92.7) (layer B.SilkS) (width 0.2))
(gr_text SOLAR+ (at 134.6 90 90) (layer B.SilkS) (tstamp 5C398BE8)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text SOLAR- (at 137.1 90 90) (layer B.SilkS) (tstamp 5C398A12)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text CELL+ (at 139.7 89.4 90) (layer B.SilkS) (tstamp 5C3988DA)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text CELL- (at 142.2 89.4 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text WIND (at 144.8 89 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text WIND (at 147.3 89 90) (layer B.SilkS) (tstamp 5C397C17)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_text v.2 (at 174.9 105.9) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.2)))
)
(gr_text "weather statiøn" (at 168.3 108) (layer F.SilkS)
(effects (font (size 1 1.2) (thickness 0.25)))
)
(gr_text øko (at 166.5 104.9) (layer F.SilkS)
(effects (font (size 4 4) (thickness 0.8)))
)
(gr_text BME280 (at 132 90.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.2)) (justify mirror))
)
(gr_text ADPS-9960 (at 139.9 105.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.2)) (justify mirror))
)
(gr_text + (at 176.4 88.8) (layer B.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(gr_text - (at 174.1 111.6) (layer B.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(dimension 50 (width 0.3) (layer Dwgs.User)
(gr_text "50.000 mm" (at 153 126.1) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 128 120) (xy 128 124.586421)))
(feature2 (pts (xy 178 120) (xy 178 124.586421)))
(crossbar (pts (xy 178 124) (xy 128 124)))
(arrow1a (pts (xy 128 124) (xy 129.126504 123.413579)))
(arrow1b (pts (xy 128 124) (xy 129.126504 124.586421)))
(arrow2a (pts (xy 178 124) (xy 176.873496 123.413579)))
(arrow2b (pts (xy 178 124) (xy 176.873496 124.586421)))
)
(dimension 32 (width 0.3) (layer Dwgs.User)
(gr_text "32.000 mm" (at 109.9 97.5 90) (layer Dwgs.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 116 81.5) (xy 111.413579 81.5)))
(feature2 (pts (xy 116 113.5) (xy 111.413579 113.5)))
(crossbar (pts (xy 112 113.5) (xy 112 81.5)))
(arrow1a (pts (xy 112 81.5) (xy 112.586421 82.626504)))
(arrow1b (pts (xy 112 81.5) (xy 111.413579 82.626504)))
(arrow2a (pts (xy 112 113.5) (xy 112.586421 112.373496)))
(arrow2b (pts (xy 112 113.5) (xy 111.413579 112.373496)))
)
(gr_line (start 178 81.5) (end 178 113.5) (layer Edge.Cuts) (width 0.001) (tstamp 5C50BB01))
(gr_line (start 128 113.5) (end 128 81.5) (layer Edge.Cuts) (width 0.001) (tstamp 5C50BAF5))
(segment (start 149.05 94.15) (end 149 94.1) (width 0.6) (layer B.Cu) (net 1))
(segment (start 152.85 94.15) (end 149.05 94.15) (width 0.6) (layer B.Cu) (net 1))
(segment (start 154.212 94.15) (end 152.85 94.15) (width 0.6) (layer B.Cu) (net 1))
(segment (start 154.262001 94.200001) (end 154.212 94.15) (width 0.6) (layer B.Cu) (net 1))
(segment (start 163.932791 94.200001) (end 154.262001 94.200001) (width 0.6) (layer B.Cu) (net 1))
(segment (start 168.29 89.842792) (end 163.932791 94.200001) (width 0.6) (layer B.Cu) (net 1))
(segment (start 168.29 88.57) (end 168.29 89.842792) (width 0.6) (layer B.Cu) (net 1))
(via (at 140.97 90.3) (size 1.2) (drill 0.5) (layers F.Cu B.Cu) (net 1))
(segment (start 144.77 94.1) (end 140.97 90.3) (width 0.6) (layer B.Cu) (net 1))
(segment (start 147.98 94.1) (end 144.78 94.1) (width 0.6) (layer B.Cu) (net 1))
(segment (start 142 99.6) (end 141.8 99.8) (width 0.25) (layer F.Cu) (net 1))
(segment (start 147.98 94.1) (end 149 94.1) (width 0.6) (layer B.Cu) (net 1))
(segment (start 142.24 91.57) (end 140.97 90.3) (width 0.6) (layer F.Cu) (net 1))
(segment (start 142.24 99.73) (end 142.24 91.57) (width 0.6) (layer F.Cu) (net 1))
(segment (start 149.05 108.35) (end 149 108.4) (width 0.6) (layer B.Cu) (net 2))
(segment (start 152.85 108.35) (end 149.05 108.35) (width 0.6) (layer B.Cu) (net 2))
(segment (start 131.03763 93.42) (end 134.7 97.08237) (width 0.25) (layer B.Cu) (net 2))
(segment (start 129.96 93.42) (end 131.03763 93.42) (width 0.25) (layer B.Cu) (net 2))
(segment (start 134.7 106.16) (end 137.96 109.42) (width 0.25) (layer B.Cu) (net 2))
(segment (start 134.7 97.08237) (end 134.7 106.16) (width 0.25) (layer B.Cu) (net 2))
(segment (start 137.96 101.42) (end 137.96 101.8) (width 0.25) (layer F.Cu) (net 3))
(segment (start 133 96.84) (end 133 93.92) (width 0.25) (layer F.Cu) (net 3))
(segment (start 137.96 101.8) (end 133 96.84) (width 0.25) (layer F.Cu) (net 3))
(segment (start 135.89 96.355486) (end 136.995486 95.25) (width 0.25) (layer B.Cu) (net 3))
(segment (start 137.96 101.8) (end 135.89 99.73) (width 0.25) (layer B.Cu) (net 3))
(segment (start 135.89 99.73) (end 135.89 96.355486) (width 0.25) (layer B.Cu) (net 3))
(segment (start 130.721999 90.118001) (end 129.96 90.88) (width 0.25) (layer F.Cu) (net 3))
(segment (start 155.59 88.57) (end 154.272999 87.252999) (width 0.25) (layer F.Cu) (net 3))
(segment (start 129.96 90.88) (end 133 93.92) (width 0.25) (layer F.Cu) (net 3))
(segment (start 133.424999 85.035999) (end 135.210988 83.25001) (width 0.25) (layer F.Cu) (net 3))
(segment (start 133.424999 86.483763) (end 133.424999 85.035999) (width 0.25) (layer F.Cu) (net 3))
(segment (start 130.721999 90.118001) (end 130.721999 89.186763) (width 0.25) (layer F.Cu) (net 3))
(segment (start 130.721999 89.186763) (end 133.424999 86.483763) (width 0.25) (layer F.Cu) (net 3))
(segment (start 151.716589 87.252999) (end 154.272999 87.252999) (width 0.25) (layer F.Cu) (net 3))
(segment (start 147.7136 83.25001) (end 151.716589 87.252999) (width 0.25) (layer F.Cu) (net 3))
(segment (start 135.210988 83.25001) (end 147.7136 83.25001) (width 0.25) (layer F.Cu) (net 3))
(segment (start 137.96 103.96) (end 137.96 104.34) (width 0.25) (layer F.Cu) (net 4))
(segment (start 130.721999 89.101999) (end 129.96 88.34) (width 0.25) (layer B.Cu) (net 4))
(segment (start 135.2 93.58) (end 130.721999 89.101999) (width 0.25) (layer B.Cu) (net 4))
(segment (start 137.96 104.34) (end 135.2 101.58) (width 0.25) (layer B.Cu) (net 4))
(segment (start 137.16 93.98) (end 135.764514 93.98) (width 0.25) (layer B.Cu) (net 4))
(segment (start 135.2 101.58) (end 135.2 93.98) (width 0.25) (layer B.Cu) (net 4))
(segment (start 135.764514 93.98) (end 135.2 93.98) (width 0.25) (layer B.Cu) (net 4))
(segment (start 135.2 93.98) (end 135.2 93.58) (width 0.25) (layer B.Cu) (net 4))
(segment (start 157.230001 87.670001) (end 158.13 88.57) (width 0.25) (layer F.Cu) (net 4))
(segment (start 156.362989 86.802989) (end 157.230001 87.670001) (width 0.25) (layer F.Cu) (net 4))
(segment (start 129.96 88.34) (end 130.721999 87.578001) (width 0.25) (layer F.Cu) (net 4))
(segment (start 130.721999 87.578001) (end 130.721999 86.646763) (width 0.25) (layer F.Cu) (net 4))
(segment (start 130.721999 86.646763) (end 134.568762 82.8) (width 0.25) (layer F.Cu) (net 4))
(segment (start 134.568762 82.8) (end 147.9 82.8) (width 0.25) (layer F.Cu) (net 4))
(segment (start 147.9 82.8) (end 151.902989 86.802989) (width 0.25) (layer F.Cu) (net 4))
(segment (start 151.902989 86.802989) (end 156.362989 86.802989) (width 0.25) (layer F.Cu) (net 4))
(segment (start 167.064999 112.655001) (end 167.390001 112.329999) (width 0.25) (layer F.Cu) (net 5))
(segment (start 167.390001 112.329999) (end 168.29 111.43) (width 0.25) (layer F.Cu) (net 5))
(segment (start 143.735001 112.655001) (end 167.064999 112.655001) (width 0.25) (layer F.Cu) (net 5))
(segment (start 137.96 106.88) (end 143.735001 112.655001) (width 0.25) (layer F.Cu) (net 5))
(segment (start 136.872999 105.792999) (end 136.872999 102.872999) (width 0.25) (layer F.Cu) (net 5))
(segment (start 130.721999 96.721999) (end 129.96 95.96) (width 0.25) (layer F.Cu) (net 5))
(segment (start 136.872999 102.872999) (end 130.721999 96.721999) (width 0.25) (layer F.Cu) (net 5))
(segment (start 137.96 106.88) (end 136.872999 105.792999) (width 0.25) (layer F.Cu) (net 5))
(segment (start 138.570486 96.52) (end 138.570486 93.98) (width 0.25) (layer B.Cu) (net 5))
(segment (start 139.047001 96.996515) (end 138.570486 96.52) (width 0.25) (layer B.Cu) (net 5))
(segment (start 137.96 106.88) (end 139.047001 105.792999) (width 0.25) (layer B.Cu) (net 5))
(segment (start 139.047001 105.792999) (end 139.047001 96.996515) (width 0.25) (layer B.Cu) (net 5))
(segment (start 176.35 91.05) (end 176.4 91) (width 0.6) (layer B.Cu) (net 7))
(segment (start 176.35 94.15) (end 176.35 91.05) (width 0.6) (layer B.Cu) (net 7))
(segment (start 175.05 91) (end 176.4 91) (width 0.6) (layer B.Cu) (net 7))
(segment (start 151.950001 86.050001) (end 170.100001 86.050001) (width 0.6) (layer B.Cu) (net 7))
(segment (start 170.100001 86.050001) (end 175.05 91) (width 0.6) (layer B.Cu) (net 7))
(segment (start 147.90051 82.00051) (end 151.950001 86.050001) (width 0.6) (layer B.Cu) (net 7))
(segment (start 134.6 85.6) (end 138.19949 82.00051) (width 0.6) (layer B.Cu) (net 7))
(segment (start 138.19949 82.00051) (end 147.90051 82.00051) (width 0.6) (layer B.Cu) (net 7))
(segment (start 176.4 108.4) (end 176.35 108.35) (width 0.6) (layer B.Cu) (net 8) (status 30))
(segment (start 176.4 111.7) (end 176.4 108.4) (width 0.6) (layer B.Cu) (net 8) (status 30))
(segment (start 164.450001 97.812001) (end 174.988 108.35) (width 0.6) (layer B.Cu) (net 8))
(segment (start 174.988 108.35) (end 176.35 108.35) (width 0.6) (layer B.Cu) (net 8))
(segment (start 146.652001 97.812001) (end 164.450001 97.812001) (width 0.6) (layer B.Cu) (net 8))
(segment (start 142.539233 97.812001) (end 145.4 97.812001) (width 0.6) (layer B.Cu) (net 8))
(segment (start 137.989999 91.489999) (end 141.558606 95.058606) (width 0.6) (layer B.Cu) (net 8))
(segment (start 137.989999 86.449999) (end 137.989999 91.489999) (width 0.6) (layer B.Cu) (net 8))
(segment (start 137.14 85.6) (end 137.989999 86.449999) (width 0.6) (layer B.Cu) (net 8))
(segment (start 145.4 97.812001) (end 144.802001 97.812001) (width 0.6) (layer B.Cu) (net 8))
(segment (start 141.558606 96.831374) (end 142.539233 97.812001) (width 0.6) (layer B.Cu) (net 8))
(segment (start 141.558606 95.058606) (end 141.558606 96.831374) (width 0.6) (layer B.Cu) (net 8))
(segment (start 146.652001 97.812001) (end 145.4 97.812001) (width 0.6) (layer B.Cu) (net 8))
(segment (start 163.21 110.157208) (end 165.2 108.167208) (width 0.25) (layer F.Cu) (net 9))
(segment (start 163.21 111.43) (end 163.21 110.157208) (width 0.25) (layer F.Cu) (net 9))
(via (at 163.1 93.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
(segment (start 165.2 108.167208) (end 165.2 94.7) (width 0.25) (layer F.Cu) (net 9))
(segment (start 163.1 93.3) (end 163.8 93.3) (width 0.25) (layer F.Cu) (net 9))
(segment (start 163.8 93.3) (end 165.2 94.7) (width 0.25) (layer F.Cu) (net 9))
(segment (start 144.76 98.76) (end 144.76 85.6) (width 0.25) (layer F.Cu) (net 9))
(segment (start 149.1 103.1) (end 144.76 98.76) (width 0.25) (layer F.Cu) (net 9))
(segment (start 162.534315 93.3) (end 163.1 93.3) (width 0.25) (layer B.Cu) (net 9))
(segment (start 162.134315 92.9) (end 162.534315 93.3) (width 0.25) (layer B.Cu) (net 9))
(segment (start 146.1 92.9) (end 162.134315 92.9) (width 0.25) (layer B.Cu) (net 9))
(segment (start 144.76 85.6) (end 144.76 91.56) (width 0.25) (layer B.Cu) (net 9))
(segment (start 144.76 91.56) (end 146.1 92.9) (width 0.25) (layer B.Cu) (net 9))
(via (at 167.64 104) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
(segment (start 156.178001 110.204999) (end 162.383 104) (width 0.25) (layer B.Cu) (net 10))
(segment (start 150.51 111.43) (end 151.735001 110.204999) (width 0.25) (layer B.Cu) (net 10))
(segment (start 151.735001 110.204999) (end 156.178001 110.204999) (width 0.25) (layer B.Cu) (net 10))
(segment (start 162.383 104) (end 167.64 104) (width 0.25) (layer B.Cu) (net 10))
(segment (start 170.96 86.9) (end 173.9 83.96) (width 0.25) (layer F.Cu) (net 10))
(segment (start 170.96 101.84) (end 170.96 86.9) (width 0.25) (layer F.Cu) (net 10))
(segment (start 167.64 104) (end 168.8 104) (width 0.25) (layer F.Cu) (net 10))
(segment (start 168.8 104) (end 170.96 101.84) (width 0.25) (layer F.Cu) (net 10))
(via (at 168.91 105.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 11))
(segment (start 155.59 111.43) (end 161.82 105.2) (width 0.25) (layer B.Cu) (net 11))
(segment (start 173.5 101.7) (end 173.5 89.5) (width 0.25) (layer F.Cu) (net 11))
(segment (start 170 105.2) (end 173.5 101.7) (width 0.25) (layer F.Cu) (net 11))
(segment (start 161.82 105.2) (end 168.91 105.2) (width 0.25) (layer B.Cu) (net 11))
(segment (start 168.91 105.2) (end 170 105.2) (width 0.25) (layer F.Cu) (net 11))
(segment (start 173.9 89.1) (end 173.9 86.5) (width 0.25) (layer F.Cu) (net 11))
(segment (start 173.5 89.5) (end 173.9 89.1) (width 0.25) (layer F.Cu) (net 11))
(segment (start 149.55 96.55) (end 149.5 96.5) (width 0.6) (layer B.Cu) (net 12) (status 30))
(segment (start 152.85 96.55) (end 149.55 96.55) (width 0.6) (layer B.Cu) (net 12) (status 30))
(segment (start 146.677919 96.5) (end 149.5 96.5) (width 0.6) (layer B.Cu) (net 12) (status 20))
(segment (start 146.677919 96.5) (end 144.8 96.5) (width 0.6) (layer B.Cu) (net 12))
(segment (start 144.8 96.5) (end 144.76 96.5) (width 0.25) (layer B.Cu) (net 12))
(segment (start 139.68 91.38) (end 144.8 96.5) (width 0.6) (layer B.Cu) (net 12))
(segment (start 139.68 85.6) (end 139.68 91.38) (width 0.6) (layer B.Cu) (net 12))
(segment (start 149.55 105.95) (end 149.5 106) (width 0.6) (layer B.Cu) (net 13) (status 30))
(segment (start 152.85 105.95) (end 149.55 105.95) (width 0.6) (layer B.Cu) (net 13) (status 30))
(via (at 146.3 105.9) (size 1.2) (drill 0.5) (layers F.Cu B.Cu) (net 13))
(segment (start 145.900001 105.500001) (end 146.3 105.9) (width 0.6) (layer F.Cu) (net 13))
(segment (start 149.4 105.9) (end 149.5 106) (width 0.6) (layer B.Cu) (net 13))
(segment (start 146.3 105.9) (end 149.4 105.9) (width 0.6) (layer B.Cu) (net 13))
(segment (start 143.51 89.59) (end 143.51 102.87) (width 0.6) (layer F.Cu) (net 13))
(segment (start 143.51 89.59) (end 142.42 88.5) (width 0.6) (layer F.Cu) (net 13))
(segment (start 143.51 103.11) (end 146.3 105.9) (width 0.6) (layer F.Cu) (net 13))
(segment (start 143.51 102.87) (end 143.51 103.11) (width 0.25) (layer F.Cu) (net 13))
(segment (start 142.22 88.3) (end 143.51 89.59) (width 0.6) (layer F.Cu) (net 13))
(segment (start 142.22 85.6) (end 142.22 88.3) (width 0.6) (layer F.Cu) (net 13))
(via (at 155.3 106.68) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
(segment (start 153.05 111.05) (end 153.05 111.43) (width 0.25) (layer F.Cu) (net 14))
(segment (start 155.3 108.8) (end 153.05 111.05) (width 0.25) (layer F.Cu) (net 14))
(segment (start 155.3 108.8) (end 155.3 106.68) (width 0.25) (layer F.Cu) (net 14))
(segment (start 155.3 106.68) (end 155.3 102.5) (width 0.25) (layer B.Cu) (net 14))
(segment (start 149.42 99.9) (end 152.7 99.9) (width 0.25) (layer B.Cu) (net 14))
(segment (start 152.7 99.9) (end 153.4 100.6) (width 0.25) (layer B.Cu) (net 14))
(segment (start 155.3 102.5) (end 153.4 100.6) (width 0.25) (layer B.Cu) (net 14))
(segment (start 153.4 100.6) (end 153.13 100.33) (width 0.25) (layer B.Cu) (net 14))
(zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5C514255) (hatch edge 0.508)
(connect_pads (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 177.4 82) (xy 177.4 113) (xy 138.2 113) (xy 138.2 82)
)
)
(filled_polygon
(pts
(xy 140.623606 95.445896) (xy 140.623607 96.739284) (xy 140.605289 96.831374) (xy 140.677856 97.196192) (xy 140.801173 97.380749)
(xy 140.88451 97.505471) (xy 140.962576 97.557633) (xy 141.812973 98.408031) (xy 141.851039 98.465) (xy 141.514561 98.465)
(xy 140.987138 98.683466) (xy 140.583466 99.087138) (xy 140.365 99.614561) (xy 140.365 100.185439) (xy 140.583466 100.712862)
(xy 140.987138 101.116534) (xy 141.514561 101.335) (xy 142.085439 101.335) (xy 142.612862 101.116534) (xy 143.016534 100.712862)
(xy 143.235 100.185439) (xy 143.235 99.614561) (xy 143.016534 99.087138) (xy 142.676397 98.747001) (xy 148.562654 98.747001)
(xy 148.385423 98.865423) (xy 148.06826 99.340091) (xy 147.956887 99.9) (xy 148.06826 100.459909) (xy 148.385423 100.934577)
(xy 148.860091 101.25174) (xy 149.278667 101.335) (xy 149.561333 101.335) (xy 149.979909 101.25174) (xy 150.454577 100.934577)
(xy 150.638043 100.66) (xy 152.385198 100.66) (xy 152.915526 101.190329) (xy 152.915532 101.190333) (xy 154.540001 102.814803)
(xy 154.54 105.976289) (xy 154.422569 106.09372) (xy 154.265 106.474126) (xy 154.265 106.885874) (xy 154.422569 107.26628)
(xy 154.71372 107.557431) (xy 155.094126 107.715) (xy 155.505874 107.715) (xy 155.88628 107.557431) (xy 156.177431 107.26628)
(xy 156.335 106.885874) (xy 156.335 106.474126) (xy 156.177431 106.09372) (xy 156.06 105.976289) (xy 156.06 102.574848)
(xy 156.074888 102.5) (xy 156.06 102.425152) (xy 156.06 102.425148) (xy 156.015904 102.203463) (xy 156.015904 102.203462)
(xy 155.890329 102.015527) (xy 155.847929 101.952071) (xy 155.784473 101.909671) (xy 153.990333 100.115532) (xy 153.990329 100.115526)
(xy 153.29033 99.415529) (xy 153.247929 99.352071) (xy 152.996537 99.184096) (xy 152.774852 99.14) (xy 152.774847 99.14)
(xy 152.7 99.125112) (xy 152.625153 99.14) (xy 150.638043 99.14) (xy 150.454577 98.865423) (xy 150.277346 98.747001)
(xy 164.062712 98.747001) (xy 174.26174 108.94603) (xy 174.313903 109.024097) (xy 174.391969 109.076259) (xy 174.623181 109.23075)
(xy 174.978228 109.301373) (xy 174.989843 109.359765) (xy 175.130191 109.569809) (xy 175.340235 109.710157) (xy 175.465001 109.734974)
(xy 175.465 110.339358) (xy 175.402235 110.351843) (xy 175.192191 110.492191) (xy 175.051843 110.702235) (xy 175.00256 110.95)
(xy 175.00256 112.45) (xy 175.051843 112.697765) (xy 175.163253 112.8645) (xy 168.837958 112.8645) (xy 169.159507 112.73131)
(xy 169.59131 112.299507) (xy 169.825 111.73533) (xy 169.825 111.12467) (xy 169.59131 110.560493) (xy 169.159507 110.12869)
(xy 168.59533 109.895) (xy 167.98467 109.895) (xy 167.420493 110.12869) (xy 167.02 110.529183) (xy 166.619507 110.12869)
(xy 166.05533 109.895) (xy 165.44467 109.895) (xy 164.880493 110.12869) (xy 164.48 110.529183) (xy 164.079507 110.12869)
(xy 163.51533 109.895) (xy 162.90467 109.895) (xy 162.340493 110.12869) (xy 161.94 110.529183) (xy 161.539507 110.12869)
(xy 160.97533 109.895) (xy 160.36467 109.895) (xy 159.800493 110.12869) (xy 159.4 110.529183) (xy 158.999507 110.12869)
(xy 158.43533 109.895) (xy 158.199802 109.895) (xy 162.134803 105.96) (xy 168.206289 105.96) (xy 168.32372 106.077431)
(xy 168.704126 106.235) (xy 169.115874 106.235) (xy 169.49628 106.077431) (xy 169.787431 105.78628) (xy 169.945 105.405874)
(xy 169.945 104.994126) (xy 169.787431 104.61372) (xy 169.49628 104.322569) (xy 169.115874 104.165) (xy 168.704126 104.165)
(xy 168.675 104.177064) (xy 168.675 103.794126) (xy 168.517431 103.41372) (xy 168.22628 103.122569) (xy 167.845874 102.965)
(xy 167.434126 102.965) (xy 167.05372 103.122569) (xy 166.936289 103.24) (xy 162.457848 103.24) (xy 162.383 103.225112)
(xy 162.308152 103.24) (xy 162.308148 103.24) (xy 162.134605 103.27452) (xy 162.086462 103.284096) (xy 161.899418 103.409076)
(xy 161.835071 103.452071) (xy 161.792671 103.515527) (xy 155.8632 109.444999) (xy 154.161387 109.444999) (xy 154.247 109.23831)
(xy 154.247 108.63575) (xy 154.08825 108.477) (xy 152.977 108.477) (xy 152.977 108.497) (xy 152.723 108.497)
(xy 152.723 108.477) (xy 151.61175 108.477) (xy 151.453 108.63575) (xy 151.453 109.23831) (xy 151.547865 109.467334)
(xy 151.438464 109.489095) (xy 151.187072 109.65707) (xy 151.144672 109.720526) (xy 150.924838 109.94036) (xy 150.81533 109.895)
(xy 150.20467 109.895) (xy 149.640493 110.12869) (xy 149.20869 110.560493) (xy 148.975 111.12467) (xy 148.975 111.73533)
(xy 149.20869 112.299507) (xy 149.640493 112.73131) (xy 149.962042 112.8645) (xy 139.031157 112.8645) (xy 139.14432 112.751337)
(xy 139.357 112.237881) (xy 139.357 111.682119) (xy 139.14432 111.168663) (xy 138.751337 110.77568) (xy 138.560353 110.696572)
(xy 138.691143 110.642397) (xy 138.760608 110.400213) (xy 138.327 109.966605) (xy 138.327 109.607395) (xy 138.940213 110.220608)
(xy 139.182397 110.151143) (xy 139.369144 109.627698) (xy 139.341362 109.072632) (xy 139.182397 108.688857) (xy 139.171565 108.68575)
(xy 147.615 108.68575) (xy 147.615 109.27631) (xy 147.711673 109.509699) (xy 147.890302 109.688327) (xy 148.123691 109.785)
(xy 148.71425 109.785) (xy 148.873 109.62625) (xy 148.873 108.527) (xy 149.127 108.527) (xy 149.127 109.62625)
(xy 149.28575 109.785) (xy 149.876309 109.785) (xy 150.109698 109.688327) (xy 150.288327 109.509699) (xy 150.385 109.27631)
(xy 150.385 108.68575) (xy 150.22625 108.527) (xy 149.127 108.527) (xy 148.873 108.527) (xy 147.77375 108.527)
(xy 147.615 108.68575) (xy 139.171565 108.68575) (xy 138.940213 108.619392) (xy 138.327 109.232605) (xy 138.327 108.873395)
(xy 138.760608 108.439787) (xy 138.691143 108.197603) (xy 138.550607 108.147465) (xy 138.751337 108.06432) (xy 139.14432 107.671337)
(xy 139.357 107.157881) (xy 139.357 106.602119) (xy 139.34402 106.570782) (xy 139.531474 106.383328) (xy 139.59493 106.340928)
(xy 139.762905 106.089536) (xy 139.807001 105.867851) (xy 139.807001 105.867847) (xy 139.821889 105.792999) (xy 139.807001 105.718151)
(xy 139.807001 105.654343) (xy 145.065 105.654343) (xy 145.065 106.145657) (xy 145.253018 106.599571) (xy 145.600429 106.946982)
(xy 146.054343 107.135) (xy 146.545657 107.135) (xy 146.999571 106.946982) (xy 147.111553 106.835) (xy 148.119467 106.835)
(xy 148.151843 106.997765) (xy 148.163359 107.015) (xy 148.123691 107.015) (xy 147.890302 107.111673) (xy 147.711673 107.290301)
(xy 147.615 107.52369) (xy 147.615 108.11425) (xy 147.77375 108.273) (xy 148.873 108.273) (xy 148.873 108.253)
(xy 149.127 108.253) (xy 149.127 108.273) (xy 150.22625 108.273) (xy 150.385 108.11425) (xy 150.385 107.52369)
(xy 150.32641 107.382241) (xy 150.497765 107.348157) (xy 150.707809 107.207809) (xy 150.848157 106.997765) (xy 150.870587 106.885)
(xy 151.474971 106.885) (xy 151.489843 106.959765) (xy 151.621369 107.156606) (xy 151.549673 107.228301) (xy 151.453 107.46169)
(xy 151.453 108.06425) (xy 151.61175 108.223) (xy 152.723 108.223) (xy 152.723 108.203) (xy 152.977 108.203)
(xy 152.977 108.223) (xy 154.08825 108.223) (xy 154.247 108.06425) (xy 154.247 107.46169) (xy 154.150327 107.228301)
(xy 154.078631 107.156606) (xy 154.210157 106.959765) (xy 154.25944 106.712) (xy 154.25944 105.188) (xy 154.210157 104.940235)
(xy 154.069809 104.730191) (xy 153.859765 104.589843) (xy 153.612 104.54056) (xy 152.088 104.54056) (xy 151.840235 104.589843)
(xy 151.630191 104.730191) (xy 151.489843 104.940235) (xy 151.474971 105.015) (xy 150.850696 105.015) (xy 150.848157 105.002235)
(xy 150.707809 104.792191) (xy 150.497765 104.651843) (xy 150.25 104.60256) (xy 148.75 104.60256) (xy 148.502235 104.651843)
(xy 148.292191 104.792191) (xy 148.176723 104.965) (xy 147.111553 104.965) (xy 146.999571 104.853018) (xy 146.545657 104.665)
(xy 146.054343 104.665) (xy 145.600429 104.853018) (xy 145.253018 105.200429) (xy 145.065 105.654343) (xy 139.807001 105.654343)
(xy 139.807001 104.035275) (xy 145.844331 104.035275) (xy 145.906169 104.271042) (xy 146.407122 104.447419) (xy 146.93744 104.418664)
(xy 147.293831 104.271042) (xy 147.355669 104.035275) (xy 146.6 103.279605) (xy 145.844331 104.035275) (xy 139.807001 104.035275)
(xy 139.807001 102.907122) (xy 145.252581 102.907122) (xy 145.281336 103.43744) (xy 145.428958 103.793831) (xy 145.664725 103.855669)
(xy 146.420395 103.1) (xy 146.779605 103.1) (xy 147.535275 103.855669) (xy 147.771042 103.793831) (xy 147.849775 103.570212)
(xy 147.968242 103.856217) (xy 148.343783 104.231758) (xy 148.834452 104.435) (xy 149.365548 104.435) (xy 149.856217 104.231758)
(xy 150.231758 103.856217) (xy 150.435 103.365548) (xy 150.435 102.834452) (xy 150.231758 102.343783) (xy 149.856217 101.968242)
(xy 149.365548 101.765) (xy 148.834452 101.765) (xy 148.343783 101.968242) (xy 147.968242 102.343783) (xy 147.856721 102.613017)
(xy 147.771042 102.406169) (xy 147.535275 102.344331) (xy 146.779605 103.1) (xy 146.420395 103.1) (xy 145.664725 102.344331)
(xy 145.428958 102.406169) (xy 145.252581 102.907122) (xy 139.807001 102.907122) (xy 139.807001 102.164725) (xy 145.844331 102.164725)
(xy 146.6 102.920395) (xy 147.355669 102.164725) (xy 147.293831 101.928958) (xy 146.792878 101.752581) (xy 146.26256 101.781336)
(xy 145.906169 101.928958) (xy 145.844331 102.164725) (xy 139.807001 102.164725) (xy 139.807001 97.071362) (xy 139.821889 96.996515)
(xy 139.807001 96.921668) (xy 139.807001 96.921663) (xy 139.762905 96.699978) (xy 139.59493 96.448586) (xy 139.531471 96.406184)
(xy 139.47875 96.353463) (xy 139.589491 96.187727) (xy 139.655426 95.85625) (xy 139.655426 95.34375) (xy 139.589491 95.012273)
(xy 139.440973 94.79) (xy 139.589491 94.567727) (xy 139.615364 94.437654)
)
)
(filled_polygon
(pts
(xy 151.223741 86.646031) (xy 151.275904 86.724098) (xy 151.35397 86.77626) (xy 151.585182 86.930751) (xy 151.95 87.003318)
(xy 152.042086 86.985001) (xy 169.712712 86.985001) (xy 174.323741 91.596031) (xy 174.375903 91.674097) (xy 174.453969 91.726259)
(xy 174.685181 91.88075) (xy 175.042714 91.951868) (xy 175.051843 91.997765) (xy 175.192191 92.207809) (xy 175.402235 92.348157)
(xy 175.415001 92.350696) (xy 175.415 92.774971) (xy 175.340235 92.789843) (xy 175.130191 92.930191) (xy 174.989843 93.140235)
(xy 174.94056 93.388) (xy 174.94056 94.912) (xy 174.989843 95.159765) (xy 175.130191 95.369809) (xy 175.340235 95.510157)
(xy 175.588 95.55944) (xy 177.112 95.55944) (xy 177.273 95.527415) (xy 177.273 106.972585) (xy 177.112 106.94056)
(xy 175.588 106.94056) (xy 175.340235 106.989843) (xy 175.130191 107.130191) (xy 175.114285 107.153995) (xy 165.176262 97.215973)
(xy 165.124098 97.137904) (xy 164.81482 96.931251) (xy 164.542087 96.877001) (xy 164.450001 96.858684) (xy 164.357915 96.877001)
(xy 154.25944 96.877001) (xy 154.25944 95.788) (xy 154.210157 95.540235) (xy 154.083045 95.35) (xy 154.210157 95.159765)
(xy 154.213364 95.143644) (xy 154.262 95.153318) (xy 154.354086 95.135001) (xy 163.840705 95.135001) (xy 163.932791 95.153318)
(xy 164.024877 95.135001) (xy 164.29761 95.080751) (xy 164.606888 94.874098) (xy 164.659052 94.796029) (xy 168.886031 90.569051)
(xy 168.964097 90.516889) (xy 169.17075 90.207611) (xy 169.188326 90.11925) (xy 169.243317 89.842793) (xy 169.234143 89.796674)
(xy 169.59131 89.439507) (xy 169.825 88.87533) (xy 169.825 88.26467) (xy 169.59131 87.700493) (xy 169.159507 87.26869)
(xy 168.59533 87.035) (xy 167.98467 87.035) (xy 167.420493 87.26869) (xy 166.98869 87.700493) (xy 166.980562 87.720115)
(xy 166.830159 87.669446) (xy 165.929605 88.57) (xy 166.830159 89.470554) (xy 166.980562 89.419885) (xy 166.98869 89.439507)
(xy 167.179843 89.63066) (xy 164.012388 92.798115) (xy 163.977431 92.71372) (xy 163.68628 92.422569) (xy 163.305874 92.265)
(xy 162.894126 92.265) (xy 162.682607 92.352614) (xy 162.682244 92.352071) (xy 162.430852 92.184096) (xy 162.209167 92.14)
(xy 162.209162 92.14) (xy 162.134315 92.125112) (xy 162.059468 92.14) (xy 146.414802 92.14) (xy 145.52 91.245199)
(xy 145.52 88.26467) (xy 148.975 88.26467) (xy 148.975 88.87533) (xy 149.20869 89.439507) (xy 149.640493 89.87131)
(xy 150.20467 90.105) (xy 150.81533 90.105) (xy 151.379507 89.87131) (xy 151.78 89.470817) (xy 152.180493 89.87131)
(xy 152.74467 90.105) (xy 153.35533 90.105) (xy 153.919507 89.87131) (xy 154.32 89.470817) (xy 154.720493 89.87131)
(xy 155.28467 90.105) (xy 155.89533 90.105) (xy 156.459507 89.87131) (xy 156.86 89.470817) (xy 157.260493 89.87131)
(xy 157.82467 90.105) (xy 158.43533 90.105) (xy 158.999507 89.87131) (xy 159.4 89.470817) (xy 159.800493 89.87131)
(xy 160.36467 90.105) (xy 160.97533 90.105) (xy 161.539507 89.87131) (xy 161.94 89.470817) (xy 162.340493 89.87131)
(xy 162.90467 90.105) (xy 163.51533 90.105) (xy 164.079507 89.87131) (xy 164.300658 89.650159) (xy 164.849446 89.650159)
(xy 164.935852 89.906643) (xy 165.509336 90.116458) (xy 166.11946 90.090839) (xy 166.564148 89.906643) (xy 166.650554 89.650159)
(xy 165.75 88.749605) (xy 164.849446 89.650159) (xy 164.300658 89.650159) (xy 164.51131 89.439507) (xy 164.519438 89.419885)
(xy 164.669841 89.470554) (xy 165.570395 88.57) (xy 164.669841 87.669446) (xy 164.519438 87.720115) (xy 164.51131 87.700493)
(xy 164.300658 87.489841) (xy 164.849446 87.489841) (xy 165.75 88.390395) (xy 166.650554 87.489841) (xy 166.564148 87.233357)
(xy 165.990664 87.023542) (xy 165.38054 87.049161) (xy 164.935852 87.233357) (xy 164.849446 87.489841) (xy 164.300658 87.489841)
(xy 164.079507 87.26869) (xy 163.51533 87.035) (xy 162.90467 87.035) (xy 162.340493 87.26869) (xy 161.94 87.669183)
(xy 161.539507 87.26869) (xy 160.97533 87.035) (xy 160.36467 87.035) (xy 159.800493 87.26869) (xy 159.4 87.669183)
(xy 158.999507 87.26869) (xy 158.43533 87.035) (xy 157.82467 87.035) (xy 157.260493 87.26869) (xy 156.86 87.669183)
(xy 156.459507 87.26869) (xy 155.89533 87.035) (xy 155.28467 87.035) (xy 154.720493 87.26869) (xy 154.32 87.669183)
(xy 153.919507 87.26869) (xy 153.35533 87.035) (xy 152.74467 87.035) (xy 152.180493 87.26869) (xy 151.78 87.669183)
(xy 151.379507 87.26869) (xy 150.81533 87.035) (xy 150.20467 87.035) (xy 149.640493 87.26869) (xy 149.20869 87.700493)
(xy 148.975 88.26467) (xy 145.52 88.26467) (xy 145.52 86.878178) (xy 145.830625 86.670625) (xy 145.845096 86.648967)
(xy 145.911673 86.809698) (xy 146.090301 86.988327) (xy 146.32369 87.085) (xy 147.01425 87.085) (xy 147.173 86.92625)
(xy 147.173 85.727) (xy 147.427 85.727) (xy 147.427 86.92625) (xy 147.58575 87.085) (xy 148.27631 87.085)
(xy 148.509699 86.988327) (xy 148.688327 86.809698) (xy 148.785 86.576309) (xy 148.785 85.88575) (xy 148.62625 85.727)
(xy 147.427 85.727) (xy 147.173 85.727) (xy 147.153 85.727) (xy 147.153 85.473) (xy 147.173 85.473)
(xy 147.173 84.27375) (xy 147.427 84.27375) (xy 147.427 85.473) (xy 148.62625 85.473) (xy 148.785 85.31425)
(xy 148.785 84.623691) (xy 148.688327 84.390302) (xy 148.509699 84.211673) (xy 148.27631 84.115) (xy 147.58575 84.115)
(xy 147.427 84.27375) (xy 147.173 84.27375) (xy 147.01425 84.115) (xy 146.32369 84.115) (xy 146.090301 84.211673)
(xy 145.911673 84.390302) (xy 145.845096 84.551033) (xy 145.830625 84.529375) (xy 145.339418 84.201161) (xy 144.906256 84.115)
(xy 144.613744 84.115) (xy 144.180582 84.201161) (xy 143.689375 84.529375) (xy 143.49 84.827761) (xy 143.290625 84.529375)
(xy 142.799418 84.201161) (xy 142.366256 84.115) (xy 142.073744 84.115) (xy 141.640582 84.201161) (xy 141.149375 84.529375)
(xy 140.95 84.827761) (xy 140.750625 84.529375) (xy 140.259418 84.201161) (xy 139.826256 84.115) (xy 139.533744 84.115)
(xy 139.100582 84.201161) (xy 138.609375 84.529375) (xy 138.41 84.827761) (xy 138.327 84.703543) (xy 138.327 83.195289)
(xy 138.586779 82.93551) (xy 147.513221 82.93551)
)
)
)
(zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5C514252) (hatch edge 0.508)
(connect_pads (clearance 0.508))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 132.5 98) (xy 132.5 82.1) (xy 177.5 82.1) (xy 177.5 113) (xy 132.5 113.1)
)
)
(filled_polygon
(pts
(xy 136.113 103.187802) (xy 136.112999 105.718152) (xy 136.098111 105.792999) (xy 136.112999 105.867846) (xy 136.112999 105.86785)
(xy 136.157095 106.089535) (xy 136.32507 106.340928) (xy 136.388529 106.38333) (xy 136.57598 106.570782) (xy 136.563 106.602119)
(xy 136.563 107.157881) (xy 136.77568 107.671337) (xy 137.168663 108.06432) (xy 137.359647 108.143428) (xy 137.228857 108.197603)
(xy 137.159392 108.439787) (xy 137.96 109.240395) (xy 137.974143 109.226253) (xy 138.153748 109.405858) (xy 138.139605 109.42)
(xy 138.940213 110.220608) (xy 139.182397 110.151143) (xy 139.369144 109.627698) (xy 139.355247 109.350049) (xy 142.869698 112.8645)
(xy 139.031157 112.8645) (xy 139.14432 112.751337) (xy 139.357 112.237881) (xy 139.357 111.682119) (xy 139.14432 111.168663)
(xy 138.751337 110.77568) (xy 138.560353 110.696572) (xy 138.691143 110.642397) (xy 138.760608 110.400213) (xy 137.96 109.599605)
(xy 137.159392 110.400213) (xy 137.228857 110.642397) (xy 137.369393 110.692535) (xy 137.168663 110.77568) (xy 136.77568 111.168663)
(xy 136.563 111.682119) (xy 136.563 112.237881) (xy 136.77568 112.751337) (xy 136.888843 112.8645) (xy 132.6355 112.8645)
(xy 132.6355 109.212302) (xy 136.550856 109.212302) (xy 136.578638 109.767368) (xy 136.737603 110.151143) (xy 136.979787 110.220608)
(xy 137.780395 109.42) (xy 136.979787 108.619392) (xy 136.737603 108.688857) (xy 136.550856 109.212302) (xy 132.6355 109.212302)
(xy 132.6355 100.04322) (xy 132.646763 99.960802) (xy 132.627 99.885569) (xy 132.627 99.701801)
)
)
(filled_polygon
(pts
(xy 177.3645 92.793007) (xy 177.359765 92.789843) (xy 177.112 92.74056) (xy 175.588 92.74056) (xy 175.340235 92.789843)
(xy 175.130191 92.930191) (xy 174.989843 93.140235) (xy 174.94056 93.388) (xy 174.94056 94.912) (xy 174.989843 95.159765)
(xy 175.130191 95.369809) (xy 175.340235 95.510157) (xy 175.588 95.55944) (xy 177.112 95.55944) (xy 177.359765 95.510157)
(xy 177.3645 95.506993) (xy 177.364501 106.993007) (xy 177.359765 106.989843) (xy 177.112 106.94056) (xy 175.588 106.94056)
(xy 175.340235 106.989843) (xy 175.130191 107.130191) (xy 174.989843 107.340235) (xy 174.94056 107.588) (xy 174.94056 109.112)
(xy 174.989843 109.359765) (xy 175.130191 109.569809) (xy 175.340235 109.710157) (xy 175.588 109.75944) (xy 177.112 109.75944)
(xy 177.359765 109.710157) (xy 177.364501 109.706993) (xy 177.364501 112.8645) (xy 168.837958 112.8645) (xy 169.159507 112.73131)
(xy 169.59131 112.299507) (xy 169.825 111.73533) (xy 169.825 111.12467) (xy 169.59131 110.560493) (xy 169.159507 110.12869)
(xy 168.59533 109.895) (xy 167.98467 109.895) (xy 167.420493 110.12869) (xy 167.02 110.529183) (xy 166.619507 110.12869)
(xy 166.05533 109.895) (xy 165.44467 109.895) (xy 164.880493 110.12869) (xy 164.48 110.529183) (xy 164.196413 110.245596)
(xy 165.684473 108.757537) (xy 165.747929 108.715137) (xy 165.915904 108.463745) (xy 165.96 108.24206) (xy 165.96 108.242055)
(xy 165.974888 108.167208) (xy 165.96 108.092361) (xy 165.96 103.794126) (xy 166.605 103.794126) (xy 166.605 104.205874)
(xy 166.762569 104.58628) (xy 167.05372 104.877431) (xy 167.434126 105.035) (xy 167.845874 105.035) (xy 167.875 105.022936)
(xy 167.875 105.405874) (xy 168.032569 105.78628) (xy 168.32372 106.077431) (xy 168.704126 106.235) (xy 169.115874 106.235)
(xy 169.49628 106.077431) (xy 169.613711 105.96) (xy 169.925153 105.96) (xy 170 105.974888) (xy 170.074847 105.96)
(xy 170.074852 105.96) (xy 170.296537 105.915904) (xy 170.547929 105.747929) (xy 170.590331 105.68447) (xy 173.984476 102.290327)
(xy 174.047929 102.247929) (xy 174.090327 102.184476) (xy 174.090329 102.184474) (xy 174.215903 101.996538) (xy 174.215904 101.996537)
(xy 174.26 101.774852) (xy 174.26 101.774848) (xy 174.274888 101.700001) (xy 174.26 101.625154) (xy 174.26 89.814802)
(xy 174.384473 89.690329) (xy 174.447929 89.647929) (xy 174.615904 89.396537) (xy 174.66 89.174852) (xy 174.66 89.174848)
(xy 174.674888 89.100001) (xy 174.66 89.025154) (xy 174.66 87.99744) (xy 174.75 87.99744) (xy 174.997765 87.948157)
(xy 175.207809 87.807809) (xy 175.348157 87.597765) (xy 175.39744 87.35) (xy 175.39744 85.65) (xy 175.348157 85.402235)
(xy 175.207809 85.192191) (xy 174.997765 85.051843) (xy 174.952381 85.042816) (xy 174.970625 85.030625) (xy 175.298839 84.539418)
(xy 175.414092 83.96) (xy 175.298839 83.380582) (xy 174.970625 82.889375) (xy 174.479418 82.561161) (xy 174.046256 82.475)
(xy 173.753744 82.475) (xy 173.320582 82.561161) (xy 172.829375 82.889375) (xy 172.501161 83.380582) (xy 172.385908 83.96)
(xy 172.458791 84.326408) (xy 170.475528 86.309671) (xy 170.412072 86.352071) (xy 170.369672 86.415527) (xy 170.369671 86.415528)
(xy 170.244097 86.603463) (xy 170.185112 86.9) (xy 170.200001 86.974852) (xy 170.2 101.525197) (xy 168.485199 103.24)
(xy 168.343711 103.24) (xy 168.22628 103.122569) (xy 167.845874 102.965) (xy 167.434126 102.965) (xy 167.05372 103.122569)
(xy 166.762569 103.41372) (xy 166.605 103.794126) (xy 165.96 103.794126) (xy 165.96 94.774847) (xy 165.974888 94.7)
(xy 165.96 94.625153) (xy 165.96 94.625148) (xy 165.915904 94.403463) (xy 165.747929 94.152071) (xy 165.684473 94.109671)
(xy 164.390331 92.81553) (xy 164.347929 92.752071) (xy 164.096537 92.584096) (xy 163.874852 92.54) (xy 163.874847 92.54)
(xy 163.8 92.525112) (xy 163.790677 92.526966) (xy 163.68628 92.422569) (xy 163.305874 92.265) (xy 162.894126 92.265)
(xy 162.51372 92.422569) (xy 162.222569 92.71372) (xy 162.065 93.094126) (xy 162.065 93.505874) (xy 162.222569 93.88628)
(xy 162.51372 94.177431) (xy 162.894126 94.335) (xy 163.305874 94.335) (xy 163.62713 94.201932) (xy 164.440001 95.014803)
(xy 164.44 107.852406) (xy 162.725528 109.566879) (xy 162.662072 109.609279) (xy 162.619672 109.672735) (xy 162.619671 109.672736)
(xy 162.494097 109.860671) (xy 162.44979 110.083418) (xy 162.340493 110.12869) (xy 161.94 110.529183) (xy 161.539507 110.12869)
(xy 160.97533 109.895) (xy 160.36467 109.895) (xy 159.800493 110.12869) (xy 159.4 110.529183) (xy 158.999507 110.12869)
(xy 158.43533 109.895) (xy 157.82467 109.895) (xy 157.260493 110.12869) (xy 156.86 110.529183) (xy 156.459507 110.12869)
(xy 155.89533 109.895) (xy 155.28467 109.895) (xy 155.276359 109.898442) (xy 155.784473 109.390329) (xy 155.847929 109.347929)
(xy 156.015904 109.096537) (xy 156.06 108.874852) (xy 156.06 108.874848) (xy 156.074888 108.8) (xy 156.06 108.725152)
(xy 156.06 107.383711) (xy 156.177431 107.26628) (xy 156.335 106.885874) (xy 156.335 106.474126) (xy 156.177431 106.09372)
(xy 155.88628 105.802569) (xy 155.505874 105.645) (xy 155.094126 105.645) (xy 154.71372 105.802569) (xy 154.422569 106.09372)
(xy 154.265 106.474126) (xy 154.265 106.885874) (xy 154.422569 107.26628) (xy 154.540001 107.383712) (xy 154.54 108.485198)
(xy 154.247 108.778198) (xy 154.247 108.63575) (xy 154.08825 108.477) (xy 152.977 108.477) (xy 152.977 109.58825)
(xy 153.13575 109.747) (xy 153.278199 109.747) (xy 153.130199 109.895) (xy 152.74467 109.895) (xy 152.180493 110.12869)
(xy 151.78 110.529183) (xy 151.379507 110.12869) (xy 150.81533 109.895) (xy 150.20467 109.895) (xy 149.640493 110.12869)
(xy 149.20869 110.560493) (xy 148.975 111.12467) (xy 148.975 111.73533) (xy 149.041138 111.895001) (xy 144.049803 111.895001)
(xy 140.790552 108.63575) (xy 151.453 108.63575) (xy 151.453 109.23831) (xy 151.549673 109.471699) (xy 151.728302 109.650327)
(xy 151.961691 109.747) (xy 152.56425 109.747) (xy 152.723 109.58825) (xy 152.723 108.477) (xy 151.61175 108.477)
(xy 151.453 108.63575) (xy 140.790552 108.63575) (xy 139.34402 107.189218) (xy 139.357 107.157881) (xy 139.357 106.602119)
(xy 139.14432 106.088663) (xy 138.751337 105.69568) (xy 138.544487 105.61) (xy 138.751337 105.52432) (xy 139.14432 105.131337)
(xy 139.357 104.617881) (xy 139.357 104.062119) (xy 139.14432 103.548663) (xy 138.751337 103.15568) (xy 138.544487 103.07)
(xy 138.751337 102.98432) (xy 139.14432 102.591337) (xy 139.357 102.077881) (xy 139.357 101.522119) (xy 139.14432 101.008663)
(xy 138.751337 100.61568) (xy 138.544487 100.53) (xy 138.751337 100.44432) (xy 139.14432 100.051337) (xy 139.357 99.537881)
(xy 139.357 98.982119) (xy 139.14432 98.468663) (xy 138.751337 98.07568) (xy 138.237881 97.863) (xy 137.682119 97.863)
(xy 137.168663 98.07568) (xy 136.77568 98.468663) (xy 136.563 98.982119) (xy 136.563 99.328198) (xy 133.76 96.525199)
(xy 133.76 93.994846) (xy 133.774888 93.919999) (xy 133.76 93.845152) (xy 133.76 93.845148) (xy 133.715904 93.623463)
(xy 133.547929 93.372071) (xy 133.484473 93.329671) (xy 132.627 92.472198) (xy 132.627 88.356564) (xy 133.909472 87.074092)
(xy 133.972928 87.031692) (xy 134.002813 86.986966) (xy 134.020582 86.998839) (xy 134.453744 87.085) (xy 134.746256 87.085)
(xy 135.179418 86.998839) (xy 135.670625 86.670625) (xy 135.87 86.372239) (xy 136.069375 86.670625) (xy 136.560582 86.998839)
(xy 136.993744 87.085) (xy 137.286256 87.085) (xy 137.719418 86.998839) (xy 138.210625 86.670625) (xy 138.41 86.372239)
(xy 138.609375 86.670625) (xy 139.100582 86.998839) (xy 139.533744 87.085) (xy 139.826256 87.085) (xy 140.259418 86.998839)
(xy 140.750625 86.670625) (xy 140.95 86.372239) (xy 141.149375 86.670625) (xy 141.285 86.761247) (xy 141.285001 88.20791)
(xy 141.266683 88.3) (xy 141.33925 88.664818) (xy 141.47991 88.87533) (xy 141.545904 88.974097) (xy 141.62397 89.026259)
(xy 142.332402 89.734692) (xy 142.575 89.97729) (xy 142.575 90.58271) (xy 142.205 90.212711) (xy 142.205 90.054343)
(xy 142.016982 89.600429) (xy 141.669571 89.253018) (xy 141.215657 89.065) (xy 140.724343 89.065) (xy 140.270429 89.253018)
(xy 139.923018 89.600429) (xy 139.735 90.054343) (xy 139.735 90.545657) (xy 139.923018 90.999571) (xy 140.270429 91.346982)
(xy 140.724343 91.535) (xy 140.882711 91.535) (xy 141.305001 91.957291) (xy 141.305 98.551803) (xy 140.987138 98.683466)
(xy 140.583466 99.087138) (xy 140.365 99.614561) (xy 140.365 100.185439) (xy 140.583466 100.712862) (xy 140.987138 101.116534)
(xy 141.514561 101.335) (xy 142.085439 101.335) (xy 142.575001 101.132217) (xy 142.575001 102.962086) (xy 142.580553 102.989998)
(xy 142.556683 103.11) (xy 142.62925 103.474818) (xy 142.783741 103.70603) (xy 145.065 105.98729) (xy 145.065 106.145657)
(xy 145.253018 106.599571) (xy 145.600429 106.946982) (xy 146.054343 107.135) (xy 146.545657 107.135) (xy 146.999571 106.946982)
(xy 147.346982 106.599571) (xy 147.535 106.145657) (xy 147.535 105.654343) (xy 147.346982 105.200429) (xy 147.334553 105.188)
(xy 151.44056 105.188) (xy 151.44056 106.712) (xy 151.489843 106.959765) (xy 151.621369 107.156606) (xy 151.549673 107.228301)
(xy 151.453 107.46169) (xy 151.453 108.06425) (xy 151.61175 108.223) (xy 152.723 108.223) (xy 152.723 108.203)
(xy 152.977 108.203) (xy 152.977 108.223) (xy 154.08825 108.223) (xy 154.247 108.06425) (xy 154.247 107.46169)
(xy 154.150327 107.228301) (xy 154.078631 107.156606) (xy 154.210157 106.959765) (xy 154.25944 106.712) (xy 154.25944 105.188)
(xy 154.210157 104.940235) (xy 154.069809 104.730191) (xy 153.859765 104.589843) (xy 153.612 104.54056) (xy 152.088 104.54056)
(xy 151.840235 104.589843) (xy 151.630191 104.730191) (xy 151.489843 104.940235) (xy 151.44056 105.188) (xy 147.334553 105.188)
(xy 146.999571 104.853018) (xy 146.545657 104.665) (xy 146.38729 104.665) (xy 146.040696 104.318407) (xy 146.407122 104.447419)
(xy 146.93744 104.418664) (xy 147.293831 104.271042) (xy 147.355669 104.035275) (xy 146.6 103.279605) (xy 146.585858 103.293748)
(xy 146.406252 103.114142) (xy 146.420395 103.1) (xy 145.664725 102.344331) (xy 145.428958 102.406169) (xy 145.252581 102.907122)
(xy 145.281336 103.43744) (xy 145.367325 103.645035) (xy 144.445 102.722711) (xy 144.445 99.519801) (xy 146.683699 101.758501)
(xy 146.26256 101.781336) (xy 145.906169 101.928958) (xy 145.844331 102.164725) (xy 146.6 102.920395) (xy 146.614142 102.906252)
(xy 146.793748 103.085858) (xy 146.779605 103.1) (xy 147.535275 103.855669) (xy 147.771042 103.793831) (xy 147.849775 103.570212)
(xy 147.968242 103.856217) (xy 148.343783 104.231758) (xy 148.834452 104.435) (xy 149.365548 104.435) (xy 149.856217 104.231758)
(xy 150.231758 103.856217) (xy 150.435 103.365548) (xy 150.435 102.834452) (xy 150.231758 102.343783) (xy 149.856217 101.968242)
(xy 149.365548 101.765) (xy 148.839802 101.765) (xy 146.974802 99.9) (xy 147.956887 99.9) (xy 148.06826 100.459909)
(xy 148.385423 100.934577) (xy 148.860091 101.25174) (xy 149.278667 101.335) (xy 149.561333 101.335) (xy 149.979909 101.25174)
(xy 150.454577 100.934577) (xy 150.77174 100.459909) (xy 150.883113 99.9) (xy 150.77174 99.340091) (xy 150.454577 98.865423)
(xy 149.979909 98.54826) (xy 149.561333 98.465) (xy 149.278667 98.465) (xy 148.860091 98.54826) (xy 148.385423 98.865423)
(xy 148.06826 99.340091) (xy 147.956887 99.9) (xy 146.974802 99.9) (xy 145.52 98.445199) (xy 145.52 93.388)
(xy 151.44056 93.388) (xy 151.44056 94.912) (xy 151.489843 95.159765) (xy 151.616955 95.35) (xy 151.489843 95.540235)
(xy 151.44056 95.788) (xy 151.44056 97.312) (xy 151.489843 97.559765) (xy 151.630191 97.769809) (xy 151.840235 97.910157)
(xy 152.088 97.95944) (xy 153.612 97.95944) (xy 153.859765 97.910157) (xy 154.069809 97.769809) (xy 154.210157 97.559765)
(xy 154.25944 97.312) (xy 154.25944 95.788) (xy 154.210157 95.540235) (xy 154.083045 95.35) (xy 154.210157 95.159765)
(xy 154.25944 94.912) (xy 154.25944 93.388) (xy 154.210157 93.140235) (xy 154.069809 92.930191) (xy 153.859765 92.789843)
(xy 153.612 92.74056) (xy 152.088 92.74056) (xy 151.840235 92.789843) (xy 151.630191 92.930191) (xy 151.489843 93.140235)
(xy 151.44056 93.388) (xy 145.52 93.388) (xy 145.52 86.878178) (xy 145.830625 86.670625) (xy 145.845096 86.648967)
(xy 145.911673 86.809698) (xy 146.090301 86.988327) (xy 146.32369 87.085) (xy 147.01425 87.085) (xy 147.173 86.92625)
(xy 147.173 85.727) (xy 147.427 85.727) (xy 147.427 86.92625) (xy 147.58575 87.085) (xy 148.27631 87.085)
(xy 148.509699 86.988327) (xy 148.688327 86.809698) (xy 148.785 86.576309) (xy 148.785 85.88575) (xy 148.62625 85.727)
(xy 147.427 85.727) (xy 147.173 85.727) (xy 147.153 85.727) (xy 147.153 85.473) (xy 147.173 85.473)
(xy 147.173 84.27375) (xy 147.01425 84.115) (xy 146.32369 84.115) (xy 146.090301 84.211673) (xy 145.911673 84.390302)
(xy 145.845096 84.551033) (xy 145.830625 84.529375) (xy 145.339418 84.201161) (xy 144.906256 84.115) (xy 144.613744 84.115)
(xy 144.180582 84.201161) (xy 143.689375 84.529375) (xy 143.49 84.827761) (xy 143.290625 84.529375) (xy 142.799418 84.201161)
(xy 142.366256 84.115) (xy 142.073744 84.115) (xy 141.640582 84.201161) (xy 141.149375 84.529375) (xy 140.95 84.827761)
(xy 140.750625 84.529375) (xy 140.259418 84.201161) (xy 139.826256 84.115) (xy 139.533744 84.115) (xy 139.100582 84.201161)
(xy 138.609375 84.529375) (xy 138.41 84.827761) (xy 138.210625 84.529375) (xy 137.719418 84.201161) (xy 137.286256 84.115)
(xy 136.993744 84.115) (xy 136.560582 84.201161) (xy 136.069375 84.529375) (xy 135.87 84.827761) (xy 135.670625 84.529375)
(xy 135.272466 84.263334) (xy 135.52579 84.01001) (xy 147.398799 84.01001) (xy 147.544769 84.155981) (xy 147.427 84.27375)
(xy 147.427 85.473) (xy 148.62625 85.473) (xy 148.744019 85.355231) (xy 150.423787 87.035) (xy 150.20467 87.035)
(xy 149.640493 87.26869) (xy 149.20869 87.700493) (xy 148.975 88.26467) (xy 148.975 88.87533) (xy 149.20869 89.439507)
(xy 149.640493 89.87131) (xy 150.20467 90.105) (xy 150.81533 90.105) (xy 151.379507 89.87131) (xy 151.78 89.470817)
(xy 152.180493 89.87131) (xy 152.74467 90.105) (xy 153.35533 90.105) (xy 153.919507 89.87131) (xy 154.32 89.470817)
(xy 154.720493 89.87131) (xy 155.28467 90.105) (xy 155.89533 90.105) (xy 156.459507 89.87131) (xy 156.86 89.470817)
(xy 157.260493 89.87131) (xy 157.82467 90.105) (xy 158.43533 90.105) (xy 158.999507 89.87131) (xy 159.4 89.470817)
(xy 159.800493 89.87131) (xy 160.36467 90.105) (xy 160.97533 90.105) (xy 161.539507 89.87131) (xy 161.94 89.470817)
(xy 162.340493 89.87131) (xy 162.90467 90.105) (xy 163.51533 90.105) (xy 164.079507 89.87131) (xy 164.300658 89.650159)
(xy 164.849446 89.650159) (xy 164.935852 89.906643) (xy 165.509336 90.116458) (xy 166.11946 90.090839) (xy 166.564148 89.906643)
(xy 166.650554 89.650159) (xy 165.75 88.749605) (xy 164.849446 89.650159) (xy 164.300658 89.650159) (xy 164.51131 89.439507)
(xy 164.519438 89.419885) (xy 164.669841 89.470554) (xy 165.570395 88.57) (xy 165.929605 88.57) (xy 166.830159 89.470554)
(xy 166.980562 89.419885) (xy 166.98869 89.439507) (xy 167.420493 89.87131) (xy 167.98467 90.105) (xy 168.59533 90.105)
(xy 169.159507 89.87131) (xy 169.59131 89.439507) (xy 169.825 88.87533) (xy 169.825 88.26467) (xy 169.59131 87.700493)
(xy 169.159507 87.26869) (xy 168.59533 87.035) (xy 167.98467 87.035) (xy 167.420493 87.26869) (xy 166.98869 87.700493)
(xy 166.980562 87.720115) (xy 166.830159 87.669446) (xy 165.929605 88.57) (xy 165.570395 88.57) (xy 164.669841 87.669446)
(xy 164.519438 87.720115) (xy 164.51131 87.700493) (xy 164.300658 87.489841) (xy 164.849446 87.489841) (xy 165.75 88.390395)
(xy 166.650554 87.489841) (xy 166.564148 87.233357) (xy 165.990664 87.023542) (xy 165.38054 87.049161) (xy 164.935852 87.233357)
(xy 164.849446 87.489841) (xy 164.300658 87.489841) (xy 164.079507 87.26869) (xy 163.51533 87.035) (xy 162.90467 87.035)
(xy 162.340493 87.26869) (xy 161.94 87.669183) (xy 161.539507 87.26869) (xy 160.97533 87.035) (xy 160.36467 87.035)
(xy 159.800493 87.26869) (xy 159.4 87.669183) (xy 158.999507 87.26869) (xy 158.43533 87.035) (xy 157.82467 87.035)
(xy 157.715162 87.08036) (xy 156.95332 86.318519) (xy 156.910918 86.25506) (xy 156.659526 86.087085) (xy 156.437841 86.042989)
(xy 156.437836 86.042989) (xy 156.362989 86.028101) (xy 156.288142 86.042989) (xy 155.417795 86.042989) (xy 155.767767 85.898026)
(xy 156.298026 85.367767) (xy 156.585 84.67495) (xy 156.585 83.92505) (xy 162.815 83.92505) (xy 162.815 84.67495)
(xy 163.101974 85.367767) (xy 163.632233 85.898026) (xy 164.32505 86.185) (xy 165.07495 86.185) (xy 165.767767 85.898026)
(xy 166.298026 85.367767) (xy 166.585 84.67495) (xy 166.585 83.92505) (xy 166.298026 83.232233) (xy 165.767767 82.701974)
(xy 165.07495 82.415) (xy 164.32505 82.415) (xy 163.632233 82.701974) (xy 163.101974 83.232233) (xy 162.815 83.92505)
(xy 156.585 83.92505) (xy 156.298026 83.232233) (xy 155.767767 82.701974) (xy 155.07495 82.415) (xy 154.32505 82.415)
(xy 153.632233 82.701974) (xy 153.101974 83.232233) (xy 152.815 83.92505) (xy 152.815 84.67495) (xy 153.101974 85.367767)
(xy 153.632233 85.898026) (xy 153.982205 86.042989) (xy 152.217792 86.042989) (xy 148.490331 82.31553) (xy 148.447929 82.252071)
(xy 148.410408 82.227) (xy 177.3645 82.227)
)
)
)
)